毕业设计基于单片机的便携式甲醛检测仪的控制系统设计

上传人:仙*** 文档编号:32426326 上传时间:2021-10-14 格式:DOC 页数:63 大小:749.52KB
收藏 版权申诉 举报 下载
毕业设计基于单片机的便携式甲醛检测仪的控制系统设计_第1页
第1页 / 共63页
毕业设计基于单片机的便携式甲醛检测仪的控制系统设计_第2页
第2页 / 共63页
毕业设计基于单片机的便携式甲醛检测仪的控制系统设计_第3页
第3页 / 共63页
资源描述:

《毕业设计基于单片机的便携式甲醛检测仪的控制系统设计》由会员分享,可在线阅读,更多相关《毕业设计基于单片机的便携式甲醛检测仪的控制系统设计(63页珍藏版)》请在装配图网上搜索。

1、基于单片机的便携式甲醛检测仪的控制系统设计摘 要本文研究设计了一种用于公共场所及室内具有检测及超限报警功能的甲醛智能测试仪。其设计方案基于89C51单片机,选择瑞士蒙巴波公司的CH20/S-10甲醛传感器。系统将传感器输出的420mA的标准信号通过以AD0832为核心的A/D转换电路调理后,经由单片机进行数据处理,最后由LCD显示甲醛浓度值。文中详细介绍了数据采集子系统、数据处理过程以及数据显示子系统和报警电路的设计方法和过程。系统对于采样地点超出规定的甲醛容许浓度时采用三极管驱动的单音频报警电路提醒监测人员。同时,操作人员对于具体报警点的上限值可以通过单片机编程进行设置。另外,该系统对浓度信

2、号进行了信号补偿等处理,减少了测量误差,因此,具有较高的测量精度,而且结构简单,性能优良。本系统的量程为0-10ppm,精度为0.039ppm。关键词: 甲醛检测,数据采集处理系统,硬件电路,软件设计,A/D转换器,AT89C52单片机目 录1 绪论11.1引言11.1.1甲醛的特性及危害11.1.2甲醛的来源11.2甲醛检测仪的种类11.3系统总概述21.4总体方案设计22 硬件设计32.1硬件设计结构32.2硬件设计主电路图32.3硬件选择32.3.1MCU的选择与简介32.3.2单片机最小系统的实现92.3.3数据采集系统122.3.4模数转换的选择与简介132.3.5按键选择与简介15

3、2.3.6外围扩充存储器162.3.7时钟芯片选择与简介172.3.8上拉电阻192.3.9液晶显示器简介202.3.10报警电路222.3.11硬件仿真环境介绍243 软件设计263.1软件设计结构263.2编写语言的选择263.3主程序模块273.4模数转换273.4按键模块283.6时钟模块283.7液晶显示模块294 系统仿真305 结束语32致 谢33参考文献34附 录3560广西工学院电子信息与控制工程系测控技术与仪器专业毕业设计(论文)1 绪论1.1引言1.1.1甲醛的特性及危害甲醛是一种无色,有强烈刺激性气味的气体。易溶于水、醇和醚。甲醛在常温下是气态,通常以水溶液形式出现。其

4、37%的水溶液称为福尔马林,医学和科研部门常用于标本的防腐保存。此溶液沸点为19.5故在室温时极易挥发,随着温度的上升甲醛的挥发速度加快。在我国有毒化学品优先控制名单中甲醛列居第二位。甲醛已被世界卫生组织确定为致癌和致畸形物质。甲醛是原浆毒物,能与蛋白质结合,吸入高浓度甲醛后会出现呼吸道的严重刺激和水肿、眼刺痛、头痛,也可发生支气管哮喘皮肤直接接触甲醛,可引起皮炎、色斑、坏死。经常吸入少量甲醛,能引起慢性中毒,出现粘膜充血、皮肤刺激症、过敏性皮炎、指甲角化和脆弱等。全身症状有头痛、乏力、心悸、失眠、体重减轻以及植物神经紊乱等。1.1.2甲醛的来源(1)室内装修所用的合成板材,如胶合板、细木工板

5、、高密度板、刨花板。这些板材中甲醛起胶合剂、防腐剂的作用,主要用于加强板材的硬度、防虫、防腐。板材中残留的和未参与反应的甲醛逐渐向周围环境释放,是室内空气中甲醛的主要来源。(2)用合成板材制造的家具,厂家为了追求利润使用不合格的板材,再粘贴面材料时使用不合格的胶水,造成家具中甲醛含量超标。(3)含有甲醛成分并有可能向外界散发的各类装饰材料,如壁纸、地毯、油漆。1.2甲醛检测仪的种类目前,市场上甲醛检测仪的种类是多种多样,其中较常见的是采用试验纸光电光度法,即:当甲醛气体吹到浸有发色剂的试纸上时,与浸有发色剂的TAB组合就会因发生化学反应而变色。甲醛同试纸接触后含在纸里的试药就会同甲醛反应生成化

6、合物,颜色就会从白色变成黄色。变色的程度可反映出所受光的反射光量,反射光量的强度变化率可以作为被测气体的甲醛含量的应答值。预先设定检测线,便可通过测量其反应率来测出甲醛气体的浓度值。在气体的采集方面有的是采用自动吸引式(内藏微型气泵),有的采用扩散式。对于试验纸光电光度法来分析甲醛的浓度,它的优点是灵敏度高,操作简便,测定速度快。而该方法在分析甲醛浓度时往往采用的是目视比色法,它的缺点是:1.由于许多有色溶液不够稳定,不能久存,经常需要在测定的同时配制溶液,比较费时费事。2.目视比色的准确度低,一般相对误差为520%。本论文设计的便携式甲醛检测仪所涉及的甲醛传感器是电化学传感器。它可以将甲醛气

7、体的浓度转换为微弱的电流信号。这样就可以通过电流电压变换电路将微弱的电流信号转换为可以测量的稳定的电压信号,增强了电信号的稳定性。1.3系统总概述本论文主要完成甲醛检测仪软件设计,设计内容包括:A/D转换器程序、控制程序、超标报警、键盘检测、数据显示等。本系统采用单片机为控制核心,以实现便携式甲醛检测仪的基本控制功能。系统主要功能内容包括:数据处理、时间设置、开始测量、超标报警、键盘检测、自动休眠:仪器若不进行称量操作,5分钟后自动进入休眠模式,以降低电源消耗。本系统设计采用功能模块化的设计思想,系统主要分为总体方案设计、硬件和软件的设计三大部分。1.4总体方案设计室内甲醛污染对人身体健康影响

8、较大,标准规定的方法绝大多数是化学分析法,使用的手段是实验室分析仪器,主要有比色计、分光光度计、化学滴定、气相和液相色谱。但这些方法费力费时、成本高、自动化程度低过程复杂、大多数过程是人工操作很难做到现场实时控制。本设计可选用基于电化学原理的甲醛传感器,其原理是空气中的甲醛在电极下发生氧化反应,产生的扩散电极电流与空气中的甲醛浓度成正比,通过检测放大电路和放大倍数的调整经A/D转换后送单片机 、由单片机现场自动控制检测并显示甲醛浓度。由于甲醛含量超量的话,将对人体健康造成很大的影响。具有民用价值的便携式甲醛检测仪的研制受到了人们的高度重视。设计能够满足生活需要,携带方便的便携式甲醛检测仪迫在眉

9、睫。针对目前的现状,本系统设计遵守体积小,质量轻,性价比高的原则。2 硬件设计2.1硬件设计结构硬件设计部分主要包括:(MCU、A/D、时钟芯片、LCD、外围扩展数据RAM)等芯片的选择;硬件主电路设计、数据采集、模数转换电路设计、液晶显示电路设计、外围扩充存储器接口电路、时钟电路、复位电路、键盘接口电路等功能模块电路设计。硬件结构框图2-1:图2-1硬件结构框图2.2硬件设计主电路图硬件设计住电路图见附录一2.3硬件选择2.3.1MCU的选择与简介(1)单片机的概念和特点现代社会中,尽管PC机的应用已经相当普遍,但是,在工控领域,在日益追求小而精、轻而薄的自动化控制器、自动化仪器仪表、家电产

10、品等方面,PC机仍有所不相适宜的地方。而工业控制、仪器仪表、家电产品等市场广阔,要求PC机技术与之相适应。在这种情况下,单片机应运而生了(也称作微型计算机)。微型计算机的基本机构是由中央处理器、储存器、和I/O设备构成的。所谓的单片机是指将微型计算机3个单元的多个分体中的主要功能用1个集成电路芯片来实现,该芯片具有一个微型计算机的基本功能。这种超大规模集成电路芯片即称为单片微型计算机,通常简称单片机。单片机具有以下特点:受集成度限制,片内存储容量较小,一般8位单片机的ROM小于8/16K字节,RAM小于256字节,但可在外部扩展,通常ROM、RAM可分别扩展至64K字节。可靠性好。芯片本身是按

11、工业测控环境要求设计的,其抗工业噪声干扰优于一般通用CPU;程序指令及常数、表格固化在ROM中不易破坏;许多信号通道均在一个芯片内,故可靠性高。易扩展。片内具有计算机正常运行所必需的部件。芯片外部有许多供扩展用的三总线及并行、串行输入/输出管脚,很容易构成各种规模的计算机应用系统。控制功能强。为了满足工业控制要求,一般单片机的指令系统中具有极丰富的条件分支转移指令、I/O口的逻辑操作以及位处理功能。一般说来,单片机的逻辑控制功能及运行速度均高于同一档次的微处理器。一般单片机内无监控程序或系统通用管理软件,只放置有用户调试好的应用程序。但近年来也开始出现了在片内固化有BASIC解释程序的单片机。

12、(2)单片机的发展与趋势由于单片机具有以上特点,因此在工业控制、数据采集、智能仪器仪表、智能化设备和各种家用电器等领域得到广泛的应用。随着微电子工艺水平的提高,近十年来单片微型计算机有了飞速的发展。归纳起来,它是沿着两条路发展的:改进集成电路制造工艺,提高芯片的工作速度,降低工作电压和降低功耗:在保留共同的CPU体系结构,最基本的外设装置(如异步串行口,定时器等)和一套公用的指令系统的基础上,根据不同的应用领域,把不同的外设装置集成到芯片内,在同一个家族内繁衍滋生出各种型号的单片机。另外在单片机的应用中,可靠性是首要因素,为了扩大单片机的应用范围和领域,提高单片机自身的可靠性是一种有效方法。近

13、年来,单片机的生产厂家在单片机设计上采用了各种提高可靠性的新技术,主要表现在一下几点:a)EFT(Electrical Fast Transient)技术;b)低噪音布线技术及驱动技术;c)采用低频时钟。总之,单片机在目前的发展形势下,表现出几大趋势:a)可靠性及应用水平越来越高,和internet连接已是一种明显的走向;b)所集成的部件越来越多;c)功耗越来越低;d)和模拟电路结合越来越多。(3)单片机选择本系统采用单片机为控制核心。单片机/MCU主要有51基本型和52增强型,而相比之下52型比51型功能更为强大,ROM和RAM存储空间更大,52还兼容51指令系统。基于本系统设计内容的需要,

14、综合考虑后,我们选择单片机ATME公司的AT89C52为控制核心;主要基于考虑AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM)、6个中断源;时钟频率0-24MHz;器件采用高密度、非易失性存储技术生产,并兼容标准MCS-51指令系统,功能强大。AT89C52介绍AT89C52是美国ATMEL公司生产的低电压,高性能CMOS 8位单片机,片内含8K bytes的可反复擦写的只读程序存储器(PEROM)和256K bytes的随机存取数据存储器,器件采用ATMEL公司的高密度,非易

15、失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼容,片内置通用8位中央处理器和FLASH存储单元,功能强大,AT89C52单片机适合于许多较为复杂控制应用场合。主要性能参数:l 与MCS-51产品指令和引脚完全兼容l 8K字节可重擦写FLASH闪存存储器l 1000次写/擦循环l 时钟频率:0Hz24MHzl 三级加密存储器l 256字节内部RAMl 32个可编程I/O口线l 3个16位定时/计数器l 6个中断源l 可编程串行UART通道 图2-2 引脚图l 低功耗的空闲和掉电模式l 片内振荡器和时钟电路AT89C52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内

16、含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,片内振荡器及时钟电路,引脚图见2-2。AT89C52可以按照常规方法进行编程,也可以在线编程。同时,AT89C52可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存RAM中的内容,但振荡器停止工作并禁止其他所有部件工作直到下一个硬件复位。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发本。AT89C52有PDIP、PQFP/TQFP及PLCC等三种封装形式,以

17、适应不同产品的需求。AT89C52引脚功能:a)Vcc:电源电压。b)GND:地。c)P0口:P0口是一组8位漏极开路型双向I/O口,也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8个TTL逻辑门电路,对端口P0写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问器件激活内部上拉电阻。在Flash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。d)P1口:P1是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“

18、1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。与AT89C51不同之处是,P1.0和P1.1还可分别作为定时/计数器2的外部计数输入(P1.0/T2)和输入(P1.1/T2EX),参见表2-1。Flash编程和程序校验期间,P1接收低8位地址。表2-1为 P1.0和P1.1的第二功能表2-1 P1.0和P1.1的第二功能引脚号功能特性P1.0T2(定时计数器2外部计数脉冲输入),时钟输出P1.1T2EX(定时计数2捕获重装载触发和方向控制)e)P2口:P2是一个带有内部上拉电阻的8位双向I/O口

19、,P2的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口P2写“1”,通过内部的上拉电阻把端口拉到高电平,同时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。在访问外部程序存储器或16位地址的外部数据存储器(例如执行MOVDPTR指令)时,P2口送出高8位地址数据。在访问8位地址的外部数据存储器(如执行MOVRI指令)时,P2口输出P2锁存器的内容。Flash编程或校验时,P2亦接收高位地址和一些控制信号。f)P3口:P3口时一组带有内部上拉电阻的8位双向I/O口。P3口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对

20、P3口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。P3口作为一般的I/O口线外,更重要的用途是它的第二功能,如表2-2所示:此外,P3口还接收一些用于Flash闪速存储器编程和程序校验的控制信号。g)RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳

21、过一个ALE脉冲。表2-2 P3口第二功能端口引脚第二功能P3.0RXD(串行输入口)P3.1TXD(串行输出口)P3.2INT0(外中断0)P3.3INT1(外中断1)P3.4T0(定时/计数器0)P3.5T1(定时/计数器1)P3.6WR(外部数据存储器写选通)P3.7RD (外部数据存储器读选通)对Flash存储器编程器件,改引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位复位,可禁止ALE操作。该位置复位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。h)P

22、SEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲。在次期间,当访问外部数据存储器,将跳过两次PSEN信号。i)EA/VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为0000H-FFFH),EA端必须保持低电平(接地)。需要注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端),CPU则执行内部程序存储器中的指令。Flash存储器编程时,该引脚加上12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。j)XTAL1

23、:振荡器反相放大器及内部时钟发生器的输入端。k)XTAL2:振荡器反相放大器的输出端。2.3.2单片机最小系统的实现介绍完以上的单片机系统的核心芯片之后,我们采用AT89C52来实现一个单片机系统能运行起来的需求最小的系统,电路图见图2-3:图2-3单片机最小系统图上图由晶振电路和复位电路,AT89C52芯片组成,构成最小的单片机系统, 下面详细介绍其中的两个电路。(1)晶振电路单片机工作的过程中各指令的微操作在时间上有严格的次序,这种微操作的时间次序称作时序,单片机的时钟信号用来为单片机芯片内部各种微操作提供时间基准,89c52的时钟产生方式有两种,一种是内部时钟方式,一种是外部时钟方式。内

24、部时钟方式即在单片机的外部接一个晶振电路与单片机里面的振荡器组合作用产生时钟脉冲信号,外部时钟方式是把外部已有的时钟信号引入到单片机内,此方式常用于多片89C52单片机同时工作,以便于各单片机的同步,一般要求外部信号高电平的持续时间大于20ns.且为频率低于12MHz的方波。对于CHMOS工艺的单片机,外部时钟要由XTAL1端引入,而XTAL2端应悬空。本系统中为了尽量降低功耗的原则,采用了内部时钟方式。电路图见图2-4:图2-4晶振电路图在89C52单片机的内部有一个震荡电路,只要在单片机的XTAL1和XTAL2引脚外接石英晶体(简称晶振)就构成了自激振荡器并在单片机内部产生时钟脉冲信号,图

25、中电容器C1和C2稳定频率和快速起振,电容值在530pF,典型值是22pF,晶振CYS选择的是12MHz。(2)复位电路复位的意义单片机开始工作的时候,必须处于一种确定的状态,否则,不知哪是第一条程序和如何开始运行程序。端口线电平和输入输出状态不确定可能使外围设备误动作,导致严重事故的发生;内部一些控制寄存器(专用寄存器)内容不确定可能导致定时器溢出、程序尚未开始就要中断及串口乱传向外设发送数据因此,任何单片机在开始工作前,都必须进行一次复位过程,使单片机处于一种确定的状态。复位电路原理当在89C52单片机的RST引脚引入高电平并保持2个机器周期时,单片机内部就执行复位操作(若该引脚持续保持高

26、电平,单片机就处于循环复位状态)。实际应用中,复位操作有两种基本形式:一种是上电复位,另一种是上电与按键均有效的复位,上电复位见图3-5,要求接通电源后,单片机自动实现复位操作。常用的上电复位电路如下图所示。上电瞬间RST引脚获得高电平,随着电容C1的充电,RST引脚的高电平将逐渐下降。图2-5上电复位电路图RST引脚的高电平只要能保持足够的时间(2个机器周期),单片机就可以进行复位操作。该电路典型的电阻和电容参数为:晶振为12MHz时,C1为22uF:R1为8.2 ;振为6MHz时,C1为22uF,R1为1。本设计中复位电路采用的是开关复位电路,开关S9未按下是上电复位电路,上电复位电路在上

27、电的瞬间,由于电容上的电压不能突变,电容处于充电(导通)状态,故RST脚的电压与VCC相同。随着电容的充电,RST脚上的电压才慢慢下降。选择合理的充电常数,就能保证在开关按下时是RST端有两个机器周期以上的高电平从而使AT89C52内部复位。开关按下时是按键手动复位电路,RST端通过电阻与VCC电源接通,通过电阻的分压就可以实现单片机的复位。电路图见图2-6: 图2-6复位电路图2.3.3数据采集系统(1)从传感器过来的电压信号,必须放大,滤波,采集,转换才能被MCU识别和处理。由于假若每一路都设置放大、滤波等器件,那么成本会很大,所以信号的采集一般用多路模拟通路进行选择。然而选择多路模拟开关

28、时必须考虑以下的几个因素:通道数量、切换速度、开关电阻和器件的封装形式。总之数据采集与硬件的选择有很大的关系。(2)甲醛传感器的选择甲醛传感器由甲醛探头CH20传感器组成。甲醛传感器/甲醛模块(CH2O传感器)详细介绍如下表2-3:(3)测量电路测量电路由CH20/S-10甲醛传感器,ADC0832组成。甲醛传感器由甲醛探头和CH20传感器组成。当空气被内部的采样系统吸收后,产生一个与甲醛浓度成正比的电压信号, 该电压信号经AD0832与AT89C52单片机相连,在显示器上显示出甲醛的浓度值,当超过国家规定的标准时报警。表2-3 传感器参数表名称甲醛传感器 CH2O/S-10:测量范围0- 1

29、0 ppm最大负荷50ppm工作寿命空气中3年输 出1200300nA/ppm4-20mA(甲醛模块)分辨率0.05 ppm温度范围-20 to 45压力范围大气压10%响应时间 (T 90) 50 seconds湿度范围-20 to 45零点输出 (纯净空体,20) 0.1 ppm最大零点漂移(20to 40)0.1 ppm长期漂移2% /每月推荐负载值10线性度输出线性重 量约32克2.3.4模数转换的选择与简介(1)实现A/D转换的基本方法很多,有计数法、逐次逼近法、双斜积分法和并行转换法。由于逐次逼近式A/D转换具有速度,分辨率高等优点,而且采用这种方法的ADC芯片成本低,所以我们采用

30、逐次逼近式A/D转换器。逐次逼近型ADC包括1个比较器、一个模数转换器、1个逐次逼近寄存器(SAR)和1个逻辑控制单元。逐次逼近型是将采样信号和已知电压不断进行比较,一个时钟周期完成1位转换,依次类推,转换完成后,输出二进制数。这类型ADC的分辨率和采样速率是相互牵制的。优点是分辨率低于12位时,价格较低,采样速率也很好。(2)由于ADC0832模数转换器具有8位分辨率、双通道A/D转换、输入输出电平与TTL/CMOS相兼容、5V电源供电时输入电压在05V之间、工作频率为250KHZ 、转换时间为32微秒、一般功耗仅为15MW等优点,适合本系统的应用,所以我们采用ADC0832为模数转换器件。

31、电路图见图2-7如下:图2-7模数转换电路图(3)ADC0832 具有以下特点:8位分辨率;双通道A/D转换;输入输出电平与TTL/CMOS相兼容;5V电源供电时输入电压在05V之间;工作频率为250KHZ,转换时间为32S;一般功耗仅为15mW;8P、14PDIP(双列直插)、PICC 多种封装;商用级芯片温宽为0C to +70C,工业级芯片温宽为40C to +85C;芯片接口说明:CS_片选使能,低电平芯片使能。CH0模拟输入通道0,或作为IN+/-使用。CH1模拟输入通道1,或作为IN+/-使用。GND 、芯片参考0电位(地)。 DI数据信号输入,选择通道控制。DO数据信号输出,转换

32、数据输出。CLK芯片时钟输入。Vcc/REF电源输入及参考电压输入(复用)。ADC0832为8位分辨率A/D转换芯片,其最高分辨可达256级,可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在05V之间。芯片转换时间仅为32S,据有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。通过DI 数据输入端,可以轻易的实现通道功能的选择。单片机对ADC0832的控制原理:正常情况下ADC0832与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时

33、有效并与单片机的接口是双向的,所以电路设计时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK和DO/DI的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟输入端CLK 输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第1个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第2、3个脉冲下沉之前DI端应输入2位数据用于选择通道功能。(4)测量量程本系统的量程为0-10ppm。由于我所使用的是8位ADC0832,所以本系统的精度

34、为:10ppm/256=0.039ppm。2.3.5按键选择与简介(1)本系统应用有人机对话功能,该功能即能随时发出各种控制命令和数据输入以及和LCD连接显示运行状态和运行结果。键盘分为:独立式和矩阵式两类,每一类按其编码方法又可以分为编码和非编码两种。由于本系统只有UP、DOWN、OK、CANCEL4个控制命令,所需按键较少,所以本系统选择独立式按键。电路图见图2-8:图2-8按键电路图(2)独立式按键是直接用I/O口线构成的单个按键电路。每个独立式按键占有一根I/O口线。各根I/O口线之间不会相互影响。在此电路中,按键输入部采用低电平有效,上拉电阻保证了按键断开时,I/O口线有确定的高电平

35、,(AT89C52.P1口内部接有上拉电阻)所以就不需要再外接上拉电阻。(3)键盘抖动的消除:抖动的消除大致可以分为硬件削抖和软件削抖。硬件削抖是采用硬件电路的方法对键盘的按下抖动及释放抖动进行削抖,经过削抖电路后使按键的电平信号只有两种稳定状态。软件削抖的基本原理是当检测出键盘闭合时,先执行一个延时子程序产生数毫秒的延时,待接通时的前沿抖动消失后再判别是否有健按下。当按键释放时,也要经过数毫秒延时,待后沿抖动消失后再判别键是否释放。由于应用硬件削抖还需要外加器件,成本相对较高,所以本系统选择软件延时削抖的方法。2.3.6外围扩充存储器基于AT89C52单片机具有8KB的程序存储器(ROM),

36、256B的数据存储器(RAM),由于考虑到本系统的数据处理与存储所需的容量,现在需要扩充存储器的容量。在应用中要保存一些参数和状态,据了解基于EEPROM的存储芯片是一种很好的选择。我们选定了AT24C128存储器。电路图见图2-9: 图2-9外围扩充存储电路图2.3.7时钟芯片选择与简介因为此系统需要记录测量发生的时间,所以需要时钟芯片来记录不同人在不同时间的监测数据,因此我们在系统中加入了时钟芯片。对时钟芯片的要求首先是低功耗,其次是编程简单,缩短程序开发时间,实际上也就缩短了系统用于实际生产所用的开发周期以及成本,在本系统,我们选择了DS1302时钟芯片。(1)我们时钟电路选择的芯片是D

37、S1302,其内含一个实时时钟/日历和31字节静态RAM,可以通过串行接口与单片机通信。而通信时,仅需要3个口线:RES(复位),I/O数据线,SCLK(串行时钟)。时钟/RAM的读/写数据以一字节或多达31字节的字符组方式通信。其工作时功耗很低,广泛应用于电话,传真,便携式仪器等产品领域。(2)DS1302主要性能有:时实时钟能计算2100年之前的秒、分、时、日、日期、星期、月、年的能力,还有闰年的调整能力;读/写时钟或RAM数据时,有单字节和多字节传送两种方式;与DS1202/TTL兼容。(3)DS1302引脚概述:X1,X2;振荡源,外接32.768KHZ晶振;SCLK:串行时钟输入端。

38、(4)日历、时钟寄存器与控制字对照表、日历、时钟寄存器命令字、取值范围以及各位内容对照表。见表2-4:寄存器名命令字取值范围各位内容写操作读操作765430秒寄存器80H81H0059CH10SECSEC分寄存器82H83H0059010MINMIN时寄存器84H85H0112 002312/24010/(A/P)HRHR日寄存器86H87H0128,29、30、310010DATEDATE月寄存器88H89H011200010MMONTH周寄存器8AH8BH01070000DAY年寄存器8CH8DH019910YEARYEAR写保护寄存器8EH8FHWP0000慢充电寄存器90H91HTCS

39、TCSTCSTCSDSDSRSRS时钟突发寄存器BEHBFH表2-4时钟控制字对照表(5)DS1302数据输入/输出时序数据输入是在输入写命令字的8个SCLK周期之后,在接下来的8个SCLK周期中的每个脉冲的上升沿输入数据,数据从0位开始。如果有额外的SCLK周期,它们将被忽略。数据输出是在输出命令字的8个SCLK周期之后,在接下来的8个SCLK周期中的每个脉冲的下降沿输出数据,数据从0位开始。需要注意的是,第一个数据位在命令字节的最后一位之后的第一个下降沿被输出。只要RST保持高电平,如果有额外的SCLK周期,将重新发送数据字节,即多字节传送。其电路图见图2-10: 图2-10时钟电路图2.

40、3.8上拉电阻在主电路图中接在P0口处有一个排阻RP1,由于P0口没有内接上拉电阻,为了为P0口外接线路有确定的高电平,所以要接上排阻RP1,以确保有P0口有稳定的电平。电路连接图见图3-11: 图2-11上拉电阻电路图2.3.9液晶显示器简介对于本系统要有显示装置完成显示功能。显示器最好能够显示数据、图形。考虑到同种LCD显示器的屏幕越大体积越大,功耗越大的特点,在同类产品中选用了AMPIRE128X64液晶显示模块。该型号显示器消耗电量比较低,可以满足系统要求。该类液晶显示模块采用动态的液晶驱动,可用5V供电。(1)AMPIRE128X64液晶模块引脚说明AMPIRE128X64液晶共有2

41、2个引脚,引脚说明如表2-5所示:管脚名称管 脚 定 义/CSA片选1/CSB片选2VSS数字地VDD逻辑电源+5VV0对比度调节R/S指令数据通道R/W读写选择E使能选择DB0-DB7数据线CS1片选1CS2片选2/RES复位信号VEE液晶驱动电源LED+LED背光正电源LED-LED接地端表2-5液晶引脚说明图AMPIRE128X64液晶显示模块与计算机的接口电路有两种方式。它与单片机的接口方法分为直接访问方式和间接控制方式。直接访问方式是把液晶模块作为存储器或I/O设备直接接在单片机的总线上,单片机以访问存储器或I/O设备的方式操作液晶显示模块的工作。间接控制方式则不使用单片机的数据系统

42、,而是利用它的I0口来实现与显示模块的联系。即将液晶显示模块的数据线与单片机的Pl口连接作为数据总线,另外三根时序控制信号线通常利用单片机的P3口中未被使用的IO口来控制。这种访问方式不占用存储器空间,它的接口电路与时序无关,其时序完全靠软件编程实现。本系统采用间接控制方式。液晶显示工作原理介绍以下为液晶显示电路接线原理图见图2-1图2-12液晶电路图(2)在单片机系统中应用液晶显示器作为输出器件有以下几个有点:显示质量高:由于液晶显示器每一个点在收到信号后就一直保持那种色彩和亮度,恒定发光,而不像阴极射线管显示器(CRT)那样需要不断刷新新亮点。因此,液晶显示器画质高且不会闪烁。数字式接口:

43、液晶显示器都是数字式的,和单片机系统的接口更加简单可靠,操作更加方便。体积小、重量轻:液晶显示器通过显示屏上的电极控制液晶分子状态来达到显示的目的,在重量上比相同显示面积的传统显示器要轻的多。功耗低:相对而言,液晶显示器的功耗主要消耗在其内部的电极和驱动IC上,因而耗电量比其他显示器要少的多。(3)LCD按其显示方式通常可以分为断式、点字符式、点阵式等。还有黑白、多灰度、彩色显示等。液晶显示原理是利用液晶的物理特性,通过电压对其显示区域进行控制,有电就显示黑色,这样就可以显示出图形。针对于本系统要显示汉字,字母,数字等,以及其在一个界面同时要显示的字数,本系统要以图形的形式显示各运行结果,我们

44、最终选择AMPIRE128x64型号的LCD。字符显示:字符显示比较复杂,一个字符由16x8点阵组成,即要找到和显示屏是某几个位置对应的RAM区的字节,再使不同的位置为1其他的为0;为1的点亮,为0的不亮,这样就显示出一个字符。汉字显示:汉字显示和字符显示的原理差不多,就是一个汉字一般采用图形方式,事先从微机中用字模软件提取要显示的汉字的点阵码,每个汉字占32B,为为两部分,各16B。根据在LCD上开始显示的行列号及每行的列数就可以找出显示RAM的对应地址,送上汉字要显示的第一字节,以此类推,最后送完32B,这样汉字就显示出来了。系统的液晶显示字体和字母的显示就是按照上述的原理显示的,点阵码是

45、用字模软件在相同的设置区域找出的。然后把提取的点阵码放入编写的LCD软件程序里。(5)阵码获取过程简介:首先,打开软件,然后新建文件,因为汉字占32B所以设置其为高度和宽度16x16。取模方式选择C51格式在文字输入区输入汉字,在点阵区生成点阵码,例如在文字输入区输入“欢”字,其点阵码生成如下:图2-13点阵生成截图2.3.10报警电路在单片机应用系统中,一般的工作状态可以通过指示灯或数码显示来指示,供操作人员参考,了解系统的工作状况。但对于某些紧急状态,比如系统检测到的错误状态等,为了使操作人员不至于忽视,及时采取措施,往往还需要有某种更能引人注意,提起警觉的报警信号。这种报警信号通常有三种

46、类型:一是闪光报警,因为闪动的指示灯更能提醒人们注意;二是鸣音报警,发出特定的音响,作用于人的听觉器官,易于引起和加强警觉;三是语音报警,不仅能起到报警作用,还能直接给出警报种类的信息。其中,前两种报警装置因硬件结构简单,软件编程方便,常常在单片机应用系统中使用;而语音报警虽然警报信息较直接,但硬件成本高,结构较复杂,软件量也增加。单频音报警实现单频音报警的接口电路比较简单,其发音元件通常可采用压电蜂鸣器,当在蜂鸣器两引脚上加315V直流工作电压,就能产生3kHZ左右的蜂鸣振荡音响。压电式蜂鸣器结构简单、耗电少,更适于在单片机系统中应用。压电式蜂鸣器,约需10mA的驱动电流,可在某端口接上一只

47、三极管和电阻组成的驱动电路来驱动,如图2-14所示。在图2-14中,P1.0接三极管基极输入端,当P1.0输出高电平“1”时,三极管导通,蜂鸣器的通电而发音,当P1.0输出低电平“0”时,三极管截止,蜂鸣器停止发音。图2-14单频音报警电路图基于本系统的需求和功耗要求,只需要基本的报警功能即可,我选择采用的是三极管驱动的单音频报警电路。以下为报警电路接线图见图2-15:图2-15报警电路图2.3.11硬件仿真环境介绍Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点

48、是:(1)实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。(2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。(3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;

49、同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。(4)具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。本章介绍Proteus ISIS软件的工作环境和一些基本操作。图2-16ISIS 6 Professional图标进入Proteus ISIS:双击桌面上的ISIS 6 Professional图标(见图2-16)或者单击屏幕左下方的“开始”“程序”“Proteus 6 Professional”“ISIS 6 Professional”,出现如图2-15所示屏幕,表明进入Proteus ISIS集成环境。工作

50、界面:Proteus ISIS的工作界面是一种标准的Windows界面,如图2-17所示。包括:标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、图形编辑窗口。图2-17工作界面3 软件设计3.1软件设计结构软件设计部分主要包括:编写语言的选择、主程序/子程序流程的设计、功能模块程序的编写、软/硬件结合调试与演示。主要包括一下功能模块:51驱动、检测、液晶显示、时钟、键盘、模数软换。软件结构框图3-1: 图3-1软件结构框图3.2编写语言的选择对于单片机的开发应用中,逐渐引入了高级语言,C语言就是其中的一种。汇编语言

51、的可控性较高级语言来说更具优越性。程序编写语言比较常见的有C语言、汇编语言。汇编语言的机器代码生成效率高,控制性好,但就是移植性不高。C语言编写的程序比用汇编编写的程序更符合人们的思考习惯。还有很多处理器都支持C编译器,这样意味着处理器也能很快上手。且具有良好的模块化、容易阅读、维护等优点,且编写的模块程序易于移植。基于C语言和汇编语言的优缺点,本系统采用C语言编写方法。软件编写的主体思路是将系统按功能模块化划分,然后根据模块要实现的功能写各个子程序。整个软件程序的编写采用查询式方式编写的。3.3主程序模块主程序实现的功能:与硬件相结合实现便携式甲醛检测仪的各个功能。主要是检测与显示,时间调整

52、与显示,数据存储。功能子函数的调用。见图3-2:图3-2主程序流程图检测主程序程序见附录二。3.4模数转换 (1)模数转换模块的主要功能就是将经放大器放大的模拟电压信号转化为MCU能够处理的数字信号,并传送给MCU。(2)ADC0832转换的流程图见下图3-3:图3-3数转换流程图(3)ADC0832程序见附录三。3.4按键模块(1)按键时显现人机对话的一个控制按钮,通过按键的操作,对系统进行发送操作指令,后经与MCU串行通信,然后在液晶上显示。(2)按键查询式的流程图见下图:图3-4按键查询式的流程图(3)按键程序见附录四。3.5时钟模块(1)DS1302模块主要是用于设置时间和与MCU通信

53、经LCD显示时间。(2)时钟模块操作流程图见下图:图3-5时钟模块操作流程图(3)时钟程序见附录五。3.6液晶显示模块(1)LCD模块在本系统中主要起着开界面汉字显示,以及各控制效果的显示。采用直接访问方式。(2)液晶显示的操作流程图见下图3-6: 图3-6液晶显示的操作流程图(3)液晶程序见附录六。4系统仿真前面已经把单片机系统的硬件设计和软件设计完成了,下一步就可以着手进行仿真,因为在设计的过程之中肯定有许多的错误,需要进行仿真来发现错误和调试错误。这样才能使单片机低功耗计数系统的设计更加完善,本次系统仿真采用的是软件仿真,使用的是proteus软件。Proteus软件由ISIS和ARES

54、两个软件构成,其中ISIS是原理图编辑与仿真软件,ARES是布线编辑软件。本次系统的硬件设计其中的原理图编辑和PCB布线就是在这个软件环境中完成的,至于软件设计,则是采用proteus软件中的ISIS和Keil uVision进行联合调试。当硬件设计和软件设计都完成的时候就可以看到虚拟的基于单片机的低功耗计数系统的运行,以下为仿真效果:(1)编译结束,添加HEX文件单击PROTEUS运行按钮,本系统主界面显示图如图4-1所示:图4-1主界面显示图(2)系统接下来显示,4个基本操作功能,小对号为该操作显示项,如图4-2:图4-2功能界面(3)选择“开始测量”,单击“OK”键,便有下面测量选择界面

55、,如图4-3: 图4-3测量选择界面(4)再按“OK”,则显示检测数据,范围0-10ppm.如图4-4图4-4测量界面显示图(5)4个功能的操作和演示是相同的,以下为“时间设置”显示图,如图4-5: 图4-5当前时间显示图(6)小结:本系统原先打算设计“开始测量”、“数据存储”、“时间设置”“通讯设置”等4个基本功能,后由于条件所限,我们并未做出全部的功能,但这次的毕业设计,收获还是很多的!今后我会进一步进完善该系统。5结束语目前,公共场所民用建筑室内需要检测甲醛气体,同样建筑材料中甲醛含量也需要检测。由于甲醛含量超量的话,将对人体健康造成很大的影响。具有民用价值的便携式甲醛检测仪的研制受到了

56、人们的高度重视。设计能够满足生活需要,携带方便的便携式甲醛检测仪迫在眉睫。针对目前的现状,该系统设计遵守体积小,质量轻,性价比高的原则。便携式甲醛检测仪的设计主要分为硬件设计和软件设计。根据设计前对该系统所要实现功能的要求,综合考虑我采用AT89C52单片机为控制核心。软件是基于汇编与C语言相结合编写的,结合了两种编写语言的优点,具有很好的可控性、模块化和移植性。编写的思路就是模块化的思想,将系统的各个功能进行划分,然后对各个模块进行设计。本系统的主要模块为甲醛检测、A/D转换、液晶显示和时钟设置。软件与硬件相结合的演示的大体流程是:主页面-显示4个子菜单功能-按键的4个控制-进入子菜单-有确

57、认和取消-按确认-实现相应功能(按取消则返回)。由于所学知识的限制,本系统实现的功能不是很健全,但在设计该系统的过程中,让我学会了系统设计的方法,和养成了系统思考的思维方式。首先要了解系统所要实现的功能;其次根据功能去选择相应的硬件资源;再次将一个大的系统进行模块化划分,然后逐一去攻破。最后把所有模块进行优化整合,便得到了一个完整的系统。基于这样的思路,才完成了便携式甲醛检测仪的基本设计。致 谢本论文是在老师的悉心指导下完成的。覃老师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对我影响深远。不仅使我树立了远大

58、的学术目标、掌握了基本的研究方法,还使我明白了许多待人接物与为人处世的道理。本论文从选题到完成,每一步都是在覃老师的指导下完成的,倾注了覃老师大量的心血。在此,谨向覃老师表示崇高的敬意和衷心的感谢!我的毕业课题是便携式甲醛显示器的设计,是一个实际的小工程。作为一个自学本科的学生,我对实际的工程设计认识不够,经验不足,难免在设计的整体框架中,有很多的细节没有考虑。我们的指导老师:覃智广老师并没有指责,而是给予我们鼓励和很多宝贵的建议,并且悉心引导,给予我们一个比较清晰的设计思路。我们沿着这条经验之路,不断地尝试摸索,慢慢地也掌握了设计的基本流程和思考的方法。我们遇到了很多的难题,比如硬件器件的选

59、择,功能的实现等。然而这样的问题并不是我一个能所能解决的,幸运的是有我们的指导老师的悉心指导和同学的全心帮助,所以一个个看似复杂的问题便迎刃而解。 最后我还要再次深深地感谢各位给予我帮助的老师、同学,正是基于老师的悉心指点和大家的全心的帮助,我才能比较顺利地完成毕业设计。谢谢你们。 参考文献1 李维提,郭强.液晶显示应用技术北京:电子工业出版社,2000年2 赵茂泰智能仪器原理及应用北京:电子工业出版社,20013 赵新民智能仪器原理及设计哈尔滨工业大学出版社,19954 徐爱钧,彭爱华.单片机高级语言C51应用程序设计北京工业出版社,19995 严蔚敏,吴伟民.数据结构清华大学出版社,19966 马忠梅单片机的C语言应用程序设计北京:北京航空航天大学出版社,19977 华成英,童诗白. 模拟电子技术基础第三版北京: 高等教育出版社,2004 8 丁元杰单片微机原理及应用北京:机械工业出版社,19939 康华光电子技术基础:模拟部分 武汉:高等教育出版社,1999年附录附录一 硬件设计主电路图附录二 检测主程序程序#include /调用外函数/#include #include #include #include #include #include /*初始化CPU*/void init_cpu() /初始化cPu E

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!