基于FPGA的高精度数字频率计设计

上传人:a**** 文档编号:121621648 上传时间:2022-07-19 格式:DOC 页数:51 大小:867.50KB
收藏 版权申诉 举报 下载
基于FPGA的高精度数字频率计设计_第1页
第1页 / 共51页
基于FPGA的高精度数字频率计设计_第2页
第2页 / 共51页
基于FPGA的高精度数字频率计设计_第3页
第3页 / 共51页
资源描述:

《基于FPGA的高精度数字频率计设计》由会员分享,可在线阅读,更多相关《基于FPGA的高精度数字频率计设计(51页珍藏版)》请在装配图网上搜索。

1、基于FPGA的高精度数字频率计设计摘 要频率计是一种应用非常广泛的电子仪器。本文设计了一种基于FPGA的新型频率计高精度数字频率计。本论文完成了高精度数字频率计硬件设计和软件设计。该数字频率计主要包括FPGA和单片机两大部分。其中FPGA部分又可分为数据测量模块、FPGA和单片机接口模块、FPGA和数码管动态扫描部分。FPGA部分采用Verilog语言编写了电路的各模块电路,选用了当前比较流行的EDA开发软件Quartus II作为开发平台,所有模块程序均通过了编译和功能仿真验证。对测频系统的设计流程、模型的建立和仿真做出了具体详细的研究,验证了该系统的正确性。单片机部分采用C51编写了控制软

2、件。该频率计具有精度高、操作方便等优点,该频率计具有较强的实用性。关键词:频率计,单片机,FPGA,电子设计自动化(EDA)Design of High-accuracy Digital Frequency MeterBased on FPGAAbstractFrequency meter is a kind of electronic instrument applied widely. A kind of high-accuracy digital frequency meter is designed based on FPGA in this paper.The design of s

3、ystem hardware and system software is accomplished in the paper. System consists of FGPA and MCU. The circuit based on FPGA includes following some parts: data acquisition module, interface between FPGA and MCU, module scanning number tube. Every circuit module is realized by Verilog.The platform of

4、 development is Quartus II and all modules procedure is demonstrated by compiling and simulation. Detailed research of design flow, model establishment and system simulation is done. The correctness of the system is demonstrated. The software based on MCU is programmed by C51. The system has the adv

5、antage of high-accuracy and convenience. Its practicability of frequency meter is well.Keywords: Frequency meter, MCU, FPGA, electronic design automation (EDA)目 录第1章 绪论11.1 研究背景及意义11.2 国内外研究现状11.2.1 从测量原理上分11.2.2 从采用的芯片类型和技术来划分31.3 EDA技术简介41.4 本论文内容及安排5第2章 频率测量方法与原理62.1 直接测频法62.2 利用电路的频率特性进行测量82.2.1

6、 电桥法测频82.2.2 谐振法测频82.2.3 频率电压转换法测频82.3 等精度测量法92.4 本章小结10第3章系统总体设计方案113.1 频率计系统设计任务与分析113.1.1 频率计系统设计任务要求113.1.2 频率计系统设计任务分析113.2 系统总体设计方案123.3 FPGA内部功能模块设计133.4 本章小结14第4章 系统的硬件电路设计154.1 FPGA部分的硬件设计154.1.1 FPGA简介154.1.2 FPGA芯片的选型154.2 单片机部分的硬件电路设计174.2.1 单片机的选型原则174.2.2 单片机控制电路的设计184.3 外围电路设计194.3.1

7、键盘接口电路194.3.2 显示电路204.3.3 电源电路204.3.4 信号放大整形电路20.4 其它电路214.4 本章小结22第5章 系统的软件设计235.1 VERILOG HDL语言简介235.2 QUARTUS II软件简介245.3 基于EDA技术的设计方法255.3.1 自底向上的设计方法265.3.2 自顶向下的设计方法265.4 FPGA内部功能模块设计275.4.1 D触发器模块285.4.2 32位高速计数器模块295.4.3 二选一选择器模块305.4.4 并串转换接口模块315.4.5 串并转换接口模块325.4.6 二进制数到8421BCD码转换模块335.4.

8、7 LED动态扫描显示控制模块345.5 单片机部分的软件设计365.6 本章小结36第6章 结论38参考文献40致谢42附录A 顶层原理图43附录B VERILOG程序源代码44第1章 绪论1.1 研究背景及意义在电子测量技术领域内,频率是一个最基本的参数。它不仅是各种强弱电信号的物质本质参数之一,还因为频率信号的抗干扰性强、易于传输、可以获得较高的测量精度等特点使各种非电信号,诸如速度、力、图像、音讯等物理量都可以转换为电频率信号。因此工程中很多测量,如用振弦式方法进行力的测量、时间测量、速度测量、速度控制等都涉及到频率测量1。因此,研究频率计具有一定的实用价值2。数字频率计是一种用十进制

9、数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其它各种单位时间内变化的物理量3。在测控系统中,测频方法的研究越来越受到大家的重视,多种非频率量的传感信号都要转化为频率量来进行测量,而频率计作为测量频率的仪器被广泛应用于工业生产、实验室、国防等领域。 国内外研究现状由以上所述可见,研究设计一种测量精度高、测频范围广、在更小的空间内实现更多的功能、有灵活的现场可更改性的高精度数字频率计显得越来越重要。本课题正是针对于此,研究、设计一种频率计,旨在提高频率测量的高精度、及时性等性能指标。下面就简单的介绍下国内外关于数字频率计的研究现状。 从测量原理上分目前频

10、率测量的方法有很多,在进行频率测量时,往往关心的是频率所测量的范围、精度要求以及被测对象的特点。而测量所能达到的精度,不仅取决于所测的频率源的精度,而且取决于所使用的测量设备和测量方法。目前测量频率的方法有多种,频率计的种类也各种各样。频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。典型的传统的方法是计算每秒内待测信号的脉冲个数,此时闸门时间为1秒。闸门时间也可以大于或小于1秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长;闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响4。(1)常用的数字频率的测量方法可以分为:

11、直接测量法 (以下称 M法)M法是在给定的闸门时间内测量被测信号的脉冲个数进行换算得出被测信号的频率。这种测量方法的测量精度取决于闸门时间和被测信号频率。当被测信号频率较低时将产生较大误差,除非闸门时间取得很大。所以这种方法比较适合测量高频信号的频率。 周期测量法 (以下称 T法)T法是通过测量被测信号的周期然后换算出被测信号的频率。这种测量方法的测量精度取决于被测信号的周期和计时精度,当被测信号频率较高时,对计时精度的要求就很高。这种方法比较适合测量频率较低的信号。 综合测量法 (以下称M /T法)M /T法具有以上两种方法的优点,它通过测量被测信号数个周期的时间然后换算得出被测信号的频率,

12、可兼顾低频与高频信号,提高了测量精度。但是M法、T法和 M /T法存在1个字的计数误差问题:M法存在被测闸门内1个被测信号的脉冲个数误差,T法或M /T法也存在1个字的计时误差,这个问题成为制约测量精度提高的一个重要原因5。(2)根据测频工作原理还可将频率测量方法分成以下几类: 利用电路的某种频率响应来测量频率谐振测频法和电桥测频法是这类测频方法的典型代表:前者常用于低频段的测量,后者主要用于高频或微波频段的测量。谐振法的优点是体积小、重量轻、不要求电源等,目前仍获得广泛应用。 利用标准频率与被测频率进行比较来测量频率采用比较法测量频率,其准确度取决于标准频率的准确度。拍频法、示波器法以及差频

13、法等均属于此类方法范畴。拍频法和示波器法主要用于低频频段的测量,差频法主要用于高频频段的测量,它的显著优点是测试灵敏度高4。以上两种方法都适合于模拟电路中实现,还有一类目前最广泛使用的计数测频法则适合于数字电路实现。该方法是根据频率定义,记下单位时间内周期信号的重复次数。1.2.2 从采用的芯片类型和技术来划分随着数字技术的发展,数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域。它使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度5。数字频率计是数字电路中的一个典型应用,实际的硬件设计中用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量

14、误差,可靠性差。EDA技术的发展为电子设计工程师提供了极大的便利,为了缩短产品的设计周期,大规模集成电路可以采用FPGA/CPLD可编程逻辑器件实现,它较之ASIC的最大优势就是设计周期短、成本低、产品上市快。随着复杂可编程逻辑器件的广泛应用,以EDA工具作为开发手段,运用硬件描述语言6,将使整个系统大大简化,提高整体的性能和可靠性。从采用的芯片类型和技术来划分,有五种设计方案,不同的测量方法和不同的设计技术在实现的效果上有很大区别。(1)采用通用中、小规模集成芯片SSI、MSI等纯硬件设计,方法比较繁琐和陈旧,在目前的设计领域中很少使用。(2)采用单片数字频率计芯片,如ICM7216等专用芯

15、片硬件实现,简单易行,但只有固定的一般功能和通用的基本指标,这种芯片的最高计数频率仅有15MHz,远不能达到在一些场合需要测量很高频率的要求,而且测量精度也受到芯片本身的限制。(3)采用单片机系统设计的数字频率计(直接测频法),此种方法虽然能达到较高的测量范围、精度,但只是直接记下单位时间内周期信号的重复次数,其记数值会有1个记数误差精度,尤其是测量精度随着频率的降低而降低。(4)采用PLD(包括大规模可编程逻辑器件 FPGA/CPLD等 )系统设计的等精度数字频率计,具有集成度高、高速和高可靠性的特点,使频率的测频范围可达到50MHz,测频全域相对误差恒为百万分之一。(5)采用单片机和 FP

16、GA/CPLD结合系统设计的多功能高精度数字频率计,用FPGA设计实现的信号源和测量仪,较之单片机与分离元件等传统方法的实现,精度明显提高,系统可靠性增强,直接带来了实验装置的市场竞争力的提高。函数信号发生器和扫频信号源模块采用FPGA实现,其核心原理为DDS (Direct Digital Synthesizer)即直接数字频率合成技术。DDS具有较高的频率分辨率,可以实现频率的快速切换,并且切换时保持相位的连续,易于实现频率、相位、幅度的数控调制6。因此,在现代电子系统及设备的频率源设计中,得到广泛应用。1.3 EDA技术简介所谓的EDA (Electronic Design Automa

17、tion,电子设计自动化)技术,是在20世纪90年代初,从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。目前,电子设计自动化己逐渐成为重要的设计手段,其广泛应用于模拟与数字电路系统等许多领域7。EDA技术就是以计算机为工作平台,以EDA软件工具为开发环境、以硬件描述语言HDL为设计语言、以可编程逻辑器件为试验载体、以ASIC、SoC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。在EDA的设计过程中,用HDL编写的设计文件将自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真、

18、直至对特定目标芯片的适配编译、逻辑映射和编程下载等等工作。设计的工作仅限于利用软件的方式,即用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改如完成软件设计一样方便而高效。EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能就是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓的边界扫描测试。另一方面,高速发展的FPGA/CPLD器件又为EDA技术的不断进步奠定了坚实

19、的物质基础。FPGA/CPLD器件的更广泛的应用及厂商间的竞争,使得普通的设计人员获得廉价的器件和EDA软件成为了可能,大大的促进了EDA的发展。EDA技术是现代电子工程领域的一门较新的技术,它提供了基于计算机和信息技术的电路系统设计方法,极大的推动了电子产业的发展。目前,在通信、国防、航天、工业自动化等领域的电子系统设计当中,EDA技术的含量正以惊人的速度发展着。未来的EDA将会超越电子设计的范畴进入其他的领域,随着基于EDA的SoC(System on a Chip)设计技术的发展,软硬功能核库的建立,以及基于HDL所谓自顶向下设计理念的确立,将会极大的推动电子工业的发展,将电子系统的设计

20、和规划应用到其他的领域中去。1.4 本论文内容及安排首先介绍了用Verilog语言和FPGA开发片上系统的基本方法,然后面向电测技术领域的频率测量系统,在原来的频率测量方法:直接测频法、利用电路的频率特性进行测量以及多周期同步法测量的基础上,把Verilog和SoC技术运用到测频系统中,利用EDA开发工具研究开发了等精度测频系统。并对其进行了一系列仿真实验测试,进一步验证了设计结果。本系统采用了 FPGA器件和单片机相结合来实现频率测量系统中的数字频率计设计。除被测信号的整形部分、键输入部分和LED显示部分以外,其余全部在一片 FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。

21、被测信号可以是方波、三角波或正弦波等周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。首先查阅资料,了解发展现状。在此基础上,对系统的方案进行了设计。对各个功能模块的设计实现进行了详细的介绍,给出了相应的仿真结果。具体研究内容安排如下:第1章:在广泛查阅文献资料的基础上,对频率计的研究背景及意义、国内外研究现状进行了深入了解,对EDA技术进行了简要介绍。第2章:对频率测量的方法与原理进行分析比较,选择了等精度测频的方法。第3章:对本系统的整体设计思路进行了分析,进行了总体方案设计。第4章:详细介绍了系统的器件选型,硬件电路设

22、计。第5章:对本系统进行软件设计。采用Verilog语言详细地把FPGA上实现的部分从顶层到底层的每一部分进行设计、仿真;对信号控制和数据计算部分(单片机)进行程序开发;同时对电路进行总体设计。第6章:对课题进行了总结。第2章 频率测量方法与原理在电测技术领域内,频率是一个最基本的参数。它不仅是各种强弱电信号的物质本质参数之一,还因为各种非电信号,诸如速度、力、图像、音讯等物理量都可以转换为电频率信号,因此关于频率信号的测量和研究显得非常重要。因为频率信号的抗干扰性强、易于传输,可以获得较高的测量精度,所以在测控系统中,测频方法的研究越来越受到大家的重视,多种非频率量的传感信号都要转化为频率量

23、来进行测量。用于频率测量的方法有很多,在进行频率测量时,我们主要关心的是频率所测量的范围、精度要求以及被测对象的特点8。而测量所能达到的精度,不仅取决于所测的频率源的精度,而且取决于所使用的测量设备和测量方法。本章主要介绍一些常用的频率测量方法。2.1 直接测频法直接测频法是最简单的、也是最基本的测量频率的方法。其原理就是在给定的闸门信号中填入脉冲,并通过一定的计数线路,得到所填充的脉冲的个数,从而算出待测信号的频率或者周期。其测量原理如图所示:在测量的过程中,按照信号频率高低的不同,其测量方法分为两种:(1)被测信号频率较高时此时,通常选用频率较低的一个标准频率信号作为闸门信号,而将被测信号

24、作为填充脉冲,在固定的闸门时间内对其计数。设闸门宽度为T,计数值为N则这种测量方法的频率测量值为: ()测量误差主要决定于闸门时间T和计数器计数的数的准确度,因此,总的误差可以采用分项误差绝对值线性相加来表示,即 ()其中是最大量化误差的相对值,的产生是由于在测频时,闸门的开启时刻与计数脉冲之间的时间关系不相关造成的,即在相同的主门开启时间内,计数器所得的数不一定相同,当主门开启时间T接近甚至等于被测信号周期Tx的整数倍时,量化的误差最大,最大量化误差为为标准频率的准确度,在数值上等于石英晶体振荡器所提供的标准频率的准确度,也是闸门时间的相对误差闸门时间的准确度,即: ()闸门被测信号图2.1

25、 直接测频法原理图(2)被测信号频率较低时此时,通常选用被测信号作为闸门信号,而将频率较高的标准频率信号作为填充脉冲,进行计数。设计数值为N,标准频率信号的频率为fs,周期为Ts。则这种测量方法的频率测量值为: ()误差主要为对标准频率信号计数产生的士1个字误差,在忽略闸门信号自身误差的情况下,测量精度为: ()直接测频方法的优点是:测量比较方便、读数直接,在比较宽的频率范围内能够获得较高的测量精度。这种测频方法的主要缺点是:测量误差主要来自于被测信号和标准频率信号,由于标准频率信号的计数器总存在1个计数误差,难以同时兼顾低频和高频以实现等精度测量,所以测量的精度较低。但是,通过提高测量频率可

26、以提高测量的精度,如果测量的频率一定时,尽可能的在比较长的闸门时间下测频,可以提高测量精度8。但对于较低的被测频率来说,测频的精度是不高的。拍频法、示波器法和差频法等测量频率的方法都属于此方法的范畴。前两种方法主要低频频率的测量。差频发则常用于高频频段的频率测量,其突出的优点是测试灵敏度高。2.2 利用电路的频率特性进行测量设某电路的频率特性为: ()式中a,b,c是电路己知参数,可以根据a,b,c等值求出频率。用此方法测量频率的有电桥法和谐振法等。下面分别简单的做一介绍。2.2.1 电桥法测频电桥法测频是利用交流电桥的平衡条件和电桥电源频率有关这一特性来测量频率的,在电桥面板上将调节电桥平衡

27、的可变电阻(或电容)的调节旋钮(度盘)按频率刻度,则在电桥指示平衡时,测试者便可以从刻度上直接读得被测信号的频率fx。这种电桥测频法的精度约为(0.51)%。在高频时,由于寄生参数影响严重,会使测量精度大大下降,所以这种电桥测频方法仅适用于l0KHz以下的音频范围9。2.2.2 谐振法测频谐振法测频是利用电感、电容串联谐振回路或并联谐振回路的谐振特性来实现测频的。当被测的频率信号加到变压器式的谐振电路中时,调节电容来使谐振电路达到谐振。如果电容的调节度盘按谐振频率刻度,则可以直接从该刻度读出被测频率值。谐振法测量频率的精度大约在(0.251)%的范围内,通常作为频率粗测或者某些仪器的附属测频部

28、件。2.2.3 频率电压转换法测频这种测频方法的原理是利用相关电路把正弦频率转换为周期相等、宽度 、幅度均为定值的矩形脉冲列,用低通滤波器滤除其全部交流分量,则平均值即直流分量为: ()输出的电流电压依次用上式按频率刻度的电压表指示,则从电压表指针所指的刻度便可直接读出被测频率fx , f U转换式频率计最高测量频率可达几兆赫兹。可以连续监视频率的变化是这种测量频率方法的突出优点。2.3 等精度测量法等精度测量方法是在直接测频方法的基础上发展起来的。它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步。因此,排除了对被测信号计数所产生的个字误差,并且达到了在整个测量频段的等精度

29、测量10,其测频原理如图所示。被测信号 预置闸门 实际闸门 标准时钟 图 等精度测频原理图在测量过程中,有两个计数器分别对标准和被测信号同时计数。首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。可以看出,实际闸门时间t与预置闸门时间t并不严格相等,但差值不会超过被测信号的一个周期11。设在一次实际闸门时间t中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns,标准信号的频率为fs则被测信号的频率为:

30、()若忽略标准频率信号的误差,则等精度测频可能产生的相对误差为: ()式中fo为被测信号的准确值。在测量中由于fx计数的起停时间都是由该信号的上升沿触发的,对fx的计数Nx无误差。而对fs的计数Ns最多相差一个数的误差,即|Ns| 1。则测量频率为: ()由以上分析我们可得出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标准信号频率有关,从而实现了整个测试频段的等精度测量。而闸门时间选的越长,标准频率选的越高,测频的相对误差就越小。标准频率可由稳定度好、精度高的高频率晶体振荡器产生,在保证测量精度满足的前提下,提高标准信号频率,可使闸门时间缩短,即提高测试速度10。本课题设计的基

31、本要求是:频率测量范围为:1Hz10MHz;测量精度要求:测频全域的相对误差恒为万分之一,即需达到1010-5;下一章将对系统的总体设计方案进行介绍。2.4 本章小结本章详细的介绍了频率测量的常用方法,主要包括直接测频法、利用电路的频率特性进行测量的方法、等精度测频率,并对几种测量方法进行了具体的分析。通过研究,指出了各种测量方法的适用测量范围及其优缺点,并对其可能产生的误差进行了分析,提出了提高测量精度的方法。第3章 系统总体设计方案数字频率计的设计方法多种多样,有用具有记忆功能的触发器设计而成的频率计,还有用以单片机为核心器件设计而成的频率计。而可编程逻辑器件FPGA和EDA技术的应用和发

32、展,使传统的电子系统设计发生了根本的变革。本章主要介绍了采用FPGA和单片机相结合的方法来实现数字频率计系统。3.1 频率计系统设计任务与分析要做好一个设计就必须明确设计的要求,对设计任务进行仔细分析,然后提出设计方案。3.1.1 频率计系统设计任务要求设计一种基于FPGA的数字频率计。要求:(1)能测量方波、正弦波和三角波的频率;(2)频率测量范围为:1Hz10MHz;(3)相对测量精度:1/10000;(4)LED显示测量值。3.1.2 频率计系统设计任务分析在第一章绪论中介绍了频率计的几种设计方案,其中采用PLD(包括大规模可编程逻辑器件FPGA/CPLD等)系统设计的等精度数字频率计,

33、具有集成度高、高速和高可靠性的特点,频率的测频范围可达到0.1Hz50MHz,测频全域相对误差恒为百万分之一,完全可以达到任务要求。因此,本系统采用了FPGA器件和单片机结合实现频率测量系统中的数字频率计设计。除被测信号的整形部分、键输入部分和LED显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。本设计采用Verilog语言对FPGA部分进行软件开发与设计,单片机部分的软件开发则采用汇编语言进行。设计选用的开发环境为,测频系统的生成、编译、仿真和验证都是在该系统中进行的。3.2 系统总体设计方案在快速测量的要求下,要保证高精度的测频,必须采用较高的

34、标准频率信号;而单片机受本身时钟频率和若干指令运算的限制,测频的速度较慢,无法满足高速、高精度的测频要求。而采用高集成度、高速的FPGA为实现高速、高精度的测频提供了保证。本设计的总体设计方案如图所示:系统总体设计方案主要由以下几个部分构成:(1)信号整形电路。用于对待测信号进行放大和整形,以便作为PLD器件的输入信号。(2)测频电路。是测频的核心电路模块,可以由FPGA/CPLD等PLD器件担任。(3)50MHz的标准频率信号源直接进入FPGA。(4)单片机电路模块。用于控制FPGA的测频操作和读取测频数据,并做出相应数据处理。安排单片机的串行通信口和FPGA进行通信。(5)键盘模块。可以用

35、2个键执行测试控制,一个是复位键,一个是测频命令键。(6)数码显示模块。可以用8个数码管来显示测试结果,为了充分的利用FPGA的资源,LED显示部分由FPGA进行控制。测频率键 待测信号50MHz数据控制信号80C51单片机FPGA信号放大整形电路晶振TCLKBCLK数码显示 复位键复位键 图3.1 系统总体设计方案图3.3 FPGA内部功能模块设计FPGA内部功能模块是等精度测频的核心电路模块,其实现方法可以简单地用图和图来说明。图中count32_a和count32_b是两个可控的32位高速计数器,EN信号是它们的计数允许信号,高电平有效。预置门控信号CL可由单片机发出,可以证明,在1秒至

36、秒间选择的范围内,CL的时间宽度对测频精度几乎没有影响,在此设其宽度为T。标准频率信号从count32_a的时钟输入端BCLK输入,设其频率为fs;经整形后的被测信号从与count32_a相似的32位计数器count32_b的时钟输入端TCLK输入,设其真实频率值为fxe,被测频率为fx。测频原理说明如下:测频开始前,首先发出一个清零信号CLR,使两个计数器和D触发器置0,同时通过信号EN,禁止两个计数器计数。这是一个初始化操作。count32_aENBCLKCLRcount32_bENTCLKCLRD QCLRSTART清零信号EN预置门控信号CL被测信号fx100MHZ标准频率信号fsCL

37、R3232图 等精度数字频率计测频主控原理图然后由单片机发出允许测频命令,即令预置门控信号CL为高电平,这时D触发器要一直等到被测信号的上升沿通过时Q端才被置1。与此同时,将同时启动计数器count32_a和count32_b,进入图“计数允许周期” START。在此期间,count32_a和count32_b分别对标准信号fs和被测信号fx同时计数。当T秒后,预置门信号被单片机置为低电平,但此时两个计数器仍没有停止计数,一直等到随后而至的被测信号的上升沿到来时,才通过D触发器将这两个计数器同时关闭。由图可见,CL的宽度和发生的时间都不会影响计数使能信号允许计数的周期,即使能信号允许计数的周期

38、总是恰好等于待测信号TCLK的完整周期数,这正是确保TCLK在任何频率条件下都能保持恒定精度的关键。而且,CL宽度的改变以及随机的出现时间造成的误差最大只有BCLK信号的一个周期,如果BCLK有精度稳定的晶体振荡器发出,则任何时刻的绝对误差只有1亿分之一秒12。设在一次预置门时间T中对被测信号的计数值为Nx,对标准频率信号的计数值为Ns,则测得的频率为: ()最后通过控制SEL二选一模块和并串转换模块pal2serail,将计数器count32_a和count32_b中的两个32位数据分别读入单片机并按上式进行计算和显示结果。TCLK CL START BCLK CLR 计数允许周期T图 等精

39、度数字频率计测控时序图3.4 本章小结 本章主要是对频率计系统的设计任务指标进行分析,并提出基于FPGA和单片机相结合的频率计系统设计方案。在此基础上,对设计方案的各个模块的功能进行了简要介绍。最后,对FPGA内部功能模块进行设计以实现等精度测频。第4章 系统的硬件电路设计系统的硬件电路设计主要包括了FPGA、单片机以及其他外围电路的设计,本章将对它们进行介绍。4.1 FPGA部分的硬件设计FPGA部分是数字频率计系统的核心部分,它是整个测频的核心模块。 FPGA简介FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPL

40、D等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一,其特点主要有:(1)采用FPGA设计ASIC,不需要投片生产,设计周期短、开发费用低、风险小;(2)FPGA可做其他全定制或半定制ASIC电路的中试样片;(3)FPGA内部有丰富的触发器和I/O引脚;(4)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS, TTL电平兼容。随着可编程逻辑技术的不断进步和创新,FPGA已被广泛应用于通信、航天、医疗电子、汽车电子

41、、工业控制等领域。由于其现场逻辑功能可重构且具有高集成度、高密度和高性能等特点,因而得到了迅猛发展。FPGA芯片所包含的资源越来越丰富,可实现的功能也越来越强,这使得FPGA在电子电路设计中越来越重要13。 FPGA芯片的选型在选择FPGA器件时,一般遵循“多”、“快”、“好”、“省”四个原则。“多”就是芯片功能多,“快”就是芯片速度快,“好”就是芯片的性价比高,“省”就是芯片的功耗低、省电。所以在选择产品时,一般需要考虑以下技术因素:门密度、内存容量、最大的时钟频率、工作电压、最大I/O引脚数、封装形式等。综上所述,本设计选定FLEX10K系列芯片,其封装如图所示。FPGA是工业界第一个嵌入

42、式的可编程逻辑器件。由于其采用、可重构的CMOS SRAM单元具有高密度、低成本、低功率等特点,所以脱颖而出成为当今Altera中应用前景最好的器件系列。到目前为止,其集成度也250000门。FLEX10K主要由嵌入式阵列块(EAB)、逻辑阵列块(LAB)、快速布线通道(Fast Track)和I/O单元组成,具有如下特点:(1) 片上集成了实现宏函数的嵌入式阵列和实现普通函数的逻辑阵列;(2) 功率低,稳定状态工作电流小于;(3) 高密度,具有10000250000个可用门;(4) 支持多电压(Multi-voltage) I/O接口,低功耗,遵守全PCI总线规定,内带JTAG边界扫描测试电

43、路;(5) 通过外部EPROM、集成控制器或JTAG接口实现在电路可重构(ICR);(6) 快速、可预测连线延时的快速通道连续式布线结构;(7) 实现高速、多输入逻辑函数的专用级联链;(8) 增强功能的I/O引脚,每个引脚都有一个独立的三态输出使能控制,都有漏极开路选择;(9) 具有快速建立时间和时钟到输出延时的外部寄存器;(10) 多种封装方式可任意选择。图4.1 FPGA引脚图同样FLEX 10K器件的配置数据存储在SRAM单元中,由于SRAM的易失性,因此这些数据必须保存在PLD器件以外的EPROM、EEPROM或FLASH ROM等非易失存储器内,在每次上电时将配置数据重新载入到器件的

44、SRAM单元中14。 单片机部分的硬件电路设计本系统中单片机主要用于数据的处理和对FPGA的控制,它将协同FPGA完成整个系统的测频部分。 单片机的选型原则(1)单片机的系统适应性单片机的系统适应性是指能否用这个单片机完成对应用系统的控制或数据处理的任务,或增加一些附加的集成电路完成要求的任务。而不是一味的追求高性能。这是单片机选型最重要的原则15。系统适应性主要考虑以下问题: 单片机是否含有所需的I/O端口数目如果所选的单片机I/O端口太少,满足不了系统的功能要求,那么再去扩展就比较麻烦,成本也比较高;如果单片机的I/O端口太多,又势必造成单片机资源的浪费,这样相对来说也就是选择了价格过高的

45、单片机。 单片机是否含有所需的中断源和定时器高效率的程序,往往以中断方式来实现对突发事件的处理。如果中断源不够用,用扩展的方法解决,往往需要占用I/O口,这样需要额外的开支,增加了成本;另外,在一个应用系统中往往需要用到定时器,定时器资源是否够用,这也是选择单片机时必须要考虑到的问题16。 单片机是否含有所需的外围端口部件一个应用系统所需的外围部件是多种多样的,如果在单片机的I/O口中包含有这些外围的端口部件,那么就可以简化电路设计,降低成本,提高可靠性。例如:A/D转换、D/A转换、串行口终端、LCD显示驱动等等。 单片机的CPU是否有合适的吞吐量单片机的处理能力主要表现在其CPU的位数、运

46、行速度、指令的功能、指令周期的长短、中断能力、堆栈大小、存储能力等指标上。选用单片机时必须要考虑这些指标。(2)单片机的可购买性单片机的购买途径是否顺畅以及购买量是否足够。即是否直接从单片机生产厂家或其代理商处买到。当我们用单片机来开发一个产品时,势必有其数量的要求,这就要求所选用的单片机也应有数量的保证,只有这样才能满足批量生产的需要。而且对某种型号的单片机的社会整体需求量是决定此单片机价格的一个重要的因素。另外,还要关注一下,此类的单片机是否仍然在生产之中。(3)单片机的可开发性如果所选用的单片机没有足够的开发手段,那么该系统的开发将很难顺利的进行,此单片机也将很难应用于被控制对象中。综上

47、所述,遵循以上原则对单片机进行选择,本设计中采用 AT89S51单片机芯片。 单片机控制电路的设计单片机测频控制电路如图所示,由单片机完成整个测量电路的测试控制、数据处理和显示输出,FPGA完成各种测试功能。AT89S51单片机CL CLR fx START SEL fsLOADEN1EN2DATACLKFLEX 10k图 单片机与FLEX 10k控制通信框图(1)CL:预置门控信号,由单片机发送给FPGA。CL=1时,预置门打开START=1;CL=0时,预置门关闭START=0。(2)CLR:系统全清零功能。(3)START:计数开始信号,由FPGA发送给单片机。(4)SEL:计数结果输出

48、选择信号,由单片机发送给FPGA。SEL为0时输出被测信号的计数结果;SEL为1时输出标准信号的计数结果。(5)LOAD:并串转换模块pal2serial的数据装载信号。(6)EN1和EN2:并串转换模块和串并转换模块的使能信号;(7)DATA:FPGA和单片机进行串行数据通信的信号。 (8)CLK:显示模块disp的时钟信号。(9)fs:标准频率信号,此频率来源于50MHz的有源晶振;(10)fx:被测信号,此信号是经过限幅整形电路后的方波信号信号。4.3 外围电路设计除了FPGA和单片机部分外,本系统中还用到了一些外围电路:键盘接口电路、显示电路、放大整形电路等。下面将对它们详细介绍。 键

49、盘接口电路键盘接口电路如图所示。按键需要按键不多,设计两个就够用。可以设置Button1为测频键,Button2为复位键,当按键Button1按下时,该线为低电平,在单片机引脚也变为低,检测键盘按下。图 键盘电路 显示电路为了充分的利用FPGA的资源,故显示部分由FPGA来控制,显示部分可采用动态扫描和静态扫描两种扫描方式,综合各方面的因素,本设计采用动态扫描方式,用8个数码管进行显示,中间使用一个74LS273做锁存部分。FPGA将最后的显示数据传送到74LS273上面锁存,并由FPGA选择所对应的数码管,从而进行选择,图为显示电路的连线图。图显示电路 电源电路设计采用5V电源电压为其供电。

50、直流稳压电源一般由电源变压器、整流滤波电路以及稳压电路所组成,如图所示。220V交流电经变压、整流、滤波后,由一片7805三端稳压器向系统提供+5V电压信号。选用输出电压固定为+5V的三端集成稳压器7805。变压器将电网220V电压变为+9V电压,经二极管桥式整流后,为78V的电压送入7805的输入端,电容C2和C4用来实现频率补偿,防止稳压器7805产生高频自激和抑制电路引入的高频干扰,C3是电解电容,以减小稳压电源输出端由输入电源引入的低频干扰。 信号放大整形电路实际测量中我们常需要测量正弦波或三角波的频率,而数字电路只能接收方波信号,所以需要设计一个信号整形电路把三角波和正弦波转化成方波

51、进行测量。放大整形电路由9018和74F14等组成,其中9018组成放大电路将输入频率为fx的周期信号如正弦波、三角波等进行放大。74F14施密特触发器对放大器的输出信号进行整形,使之成为矩形脉冲。其电路如图所示。图 电源电路图 信号放大整形电路 其它电路单片机的时钟电路由的普通晶体振荡器。它可产生10-5-10-4量级的频率精度,标准频率1-100MHz,频率稳定度是100ppm。FPGA的标准频率信号由50MHz的温度补偿式晶体振荡器提供。属于有源晶振,它采用温度敏感器件进行温度频率补偿,为了使振源工作在最佳状态,内部还集成高频运放提供阻抗匹配,频率精度达到10-7-10-6数量级,频率范

52、围1-60MHz,频率稳定度为1-。 4.4 本章小结本章主要介绍了系统的硬件电路设计部分。首先简单的介绍了FPGA芯片及FPGA芯片的选型;然后对单片机控制电路进行了设计;最后对其它外围电路进行了设计,包括键盘电路、显示电路、电源电路、时钟电路等。第5章 系统的软件设计本设计中采用FPGA和单片机相结合来实现对频率的测量,它们都是可编程芯片,需要对其进行软件编程。FPGA可以在Quartus II开发平台下运用Verilog语言进行编程、仿真与验证,而单片机的程序可以采用汇编语言来编写。5.1 VERILOG HDL语言简介硬件描述语言HDL(Hardware Description Lan

53、guage)是相对于一般的计算机语言如C, Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式17。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA或CPLD内部结构并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。就FPGA/CPLD开发来说,Verilog语言是最常用和流行的硬件描述语言之一。下面就对Verilog语言进行一下介绍。Verilog HDL是硬件描述语言的一种,用于数字电子系统设计。它允许设计者用它来进行各种级别的逻辑设计,可以用它进

54、行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件描述语言。据有关文献报道,目前在美国使用Verilog HDL进行设计的工程师大约有60000人,全美国有200多所大学教授用Verilog 硬件描述语言的设计方法。在我国台湾地区几乎所有著名大学的电子和计算机工程系都讲授Verilog有关的课程18。从语法结构上看,Verilog HDL语言与C语言有许多相似之处,并继承和借鉴了C语言的多种操作符和语法结构。下面列出的是Verilog HDL硬件描述语言的一些主要特点:(1)能形式化地表示电路的结构和行为。(2)借用高级语言的结构和语句,例如条件语句、赋值语句和循环语句

55、等,在Verilog HDL中都可以使用,既简化了电路的描述,又方便了设计人员的学习和使用。(3)能够在多个层次上对所设计的系统加以描述,从开关级、门级、寄存器传输级(RTL)到功能级和系统级,都可以描述。设计的规模可以是任意的,语言不对设计的规模施加任何限制。(4)Verilog HDL具有混合建模的能力,即在一个设计中各个模块可以在不同设计层次上建模和描述。(5)基本逻辑门,例如and、or和nand等都内置在语言中;开关级结构模型,例如pmos和nmos等也被内置在语言中,用户可以直接调用。(6)用户定义原语(UDP)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语

56、。Verilog HDL还具有内置逻辑函数。Verilog HDL语言最大的特点就是易学易用,通过学习和使用,可以在短时间内掌握该语言。另外该语言的功能强,可以满足各个层次设计人员的需要,从高层的系统描述到底层的版图设计,都能很好地支持19。因此,本设计采用Verilog语言对FPGA内部功能模块进行描述。5.2 QUARTUS II软件简介Quartus II是Altera提供的FPGA/CPLD开发集成环境,在21世纪初推出,现在是MAX plus II的升级产品,其界面友好,使用便捷。在Quartus II上可以完成FPGA开发的整个流程,它提供了与结构无关的设计环境,使设计者能方便地进

57、行设计输入、快速处理和器件编程。Quartus II设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。Quartus II还可以嵌入第三方的综合工具,Leonardo Speetrum、Synplify Pro、FPGA Compiler II直接调用。同样,Quartus II具备仿真功能,也支持第三方的仿真工具,如ModelSim。此外,Quartus II与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。Quartus II设计流程如图所示20。Quartus II包括模

58、块化的编译器。编译器包括的功能模块有分析/综合器(Analysis & Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)和编辑数据接口(Compiler Database Interface)等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool(Tools菜单),在Compiler Tool窗口中运行该模块来启

59、动编译器模块。在Compiler Tool窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。Quartus II还包含许多十分有用的LPM(Library of Parameterized Modules)模块,它们是复杂或高级系统构建的重要组成部分,在SOPC设计中被大量使用,也可在Quartus II普通设计文件一起使用。Altera提供的LPM函数均基于Altera器件的结构做了优化设计。在许多实用情况中,必须使用宏功能模块才可以使用一些Altera特定器件的硬件功能。例如各类片上存储器、DSP模块、LVDS驱动器、PLL以及SERDES和DDIO电路模块等。设计输入综合布

60、局布线时序分析仿真编程和配置工程更改管理时序逼近调试包括基于块的设计、系统级设计和软件开发图 Quartus II 设计流程5.3 基于EDA技术的设计方法半导体技术和计算机技术的发展,使数字系统的设计理念和设计方法都发生了深刻的变化。从电子CAD(Computer Aided Design)、电子CAE(Computer Aided Engineering)到电子设计自动化(EDA,Electronic Design Automation),设计的自动化程序越来越高,设计的复杂性也越来越高。在基于EDA技术的设计中,由两种基本的设计思路,一种是自底向上的设计思路,一种是自顶向下的设计思路。下

61、面分别进行介绍。5.3.1 自底向上的设计方法自底向上的设计(即Bottom-up)是一种传统的设计思路。这种设计方式一般是设计者选择标准的集成电路,或者将各种基本单元,如各种门电路以及像加法器、计数器等模块做成基本单元库,调用这些基本单元,逐级向上组合,直到设计出满足自己需要的系统为止。这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高、而且容易出错21。5.3.2 自顶向下的设计方法自顶向下的设计(即Top-down设计)是从系统级开始,把系统划分为基本单元,然后再把每个基本单元划分为下一层次的基本单元,一直这样做下去,直到可以直接用EDA元件库中的元件来实现为止。图为自顶向下(Top-down)的示意图,以树形的形式绘出18。模块A1模块A2模块A3模块B1模块B2模块C1模块C2模块A系统级设计模

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!