LED点阵显示屏资料

上传人:仙*** 文档编号:59524771 上传时间:2022-03-03 格式:DOCX 页数:68 大小:2.34MB
收藏 版权申诉 举报 下载
LED点阵显示屏资料_第1页
第1页 / 共68页
LED点阵显示屏资料_第2页
第2页 / 共68页
LED点阵显示屏资料_第3页
第3页 / 共68页
资源描述:

《LED点阵显示屏资料》由会员分享,可在线阅读,更多相关《LED点阵显示屏资料(68页珍藏版)》请在装配图网上搜索。

1、目 录引言 11 系统的设计与分析 21.1 LED点阵控制原理31.1.1 LED简介 31.1.2 LED点阵 41.1.3 显示原理 51.1.4显示屏的原理图及结构 61.2 单片机小系统 81.2.1 51系列单片机的概述 81.2.2单片机的组成 82 程序设计 102.1 系统程序的框架102.1.1 串口通讯协议102.1.2 显示处理效果函数102.1.3 显示函数102.2 程序流程图93 总结 11谢辞 13参考文献 14附录(所有的源程序)15摘 要LED是发光二极管Light Emitting Diode的英文缩写, 是一种能够将电能转化为可见光的半导体。LED点阵是

2、由发光二极管排列组成的显示器件, 在我们日常生活的电器中随处可见,极为普通也广为人知。特别是它的发光类型属于冷光源,效率及发热量是普通发光器件难以比拟的,它采用低电压扫描驱动,具有: 耗电少、使用寿命长、成本低、亮度高、故障少、视角大、可视距离远、规格品、可靠耐用、应用灵活、安全、响应时间短、绿色环保、控制灵活种等特点。目前LED显示屏作为新一代的信息传播媒体,已经成为城市信息现代化建设的标志。随着社会经济的不断进步,以及LED显示技术的不断完善,人们对LED显示屏的认识将越来越深入,其应用领域将会越来越广。本文设计一个16*64点阵模块控制器,根据标准的08控制接口要求,可以驱动市面上常见的

3、16扫单色显示屏,显示汉字、英文、阿拉伯数字及其他信息等。整个系统提供很宽的外部扩展,包括时钟、温湿度、亮度等常规点阵控制器的模块。关键词:LED点阵控制器;89S52;16扫;08接口AbstractLED Light Emitting Diode Light Emitting Diode is the English abbreviation is an electrical energy into visible light can be a semiconductor. LED dot matrix is composed of light-emitting diode array d

4、isplay devices, the appliances in our daily life everywhere, it is common are also well known. In particular, it belongs to the type of light-emitting cold light source, efficiency, and heat an ordinary light-emitting devices is difficult to match, it uses low-voltage scan driver with: low power con

5、sumption, long life, low cost, high brightness, fault less large angle, visual distance specification products, reliable and durable, application flexibility, security, response time is short, green environmental protection, control and flexible kinds of characteristics. Present LED display as a new

6、 generation of information and communication media, has become a symbol of the modernization of city information. As social and economic advances, as well as LED display technology continues to improve, peoples understanding of the LED display will be more in-depth, its applications will become more

7、 widely. This design of a 16 * 64 Dot Matrix module controller, according to the standard control interface of the 08 requirements of the common market can drive 16 scan monochrome display, display Chinese characters, English, Arabic, and other information. Throughout the system provides a wide exte

8、rnal expansion, including clock, temperature and humidity, brightness and other conventional dot matrix controller module. Key words:LED dot matrix controller; 89S52; 16 sweep; 08 Interface现在各种广告牌不再是白底黑字了,也不再是单一的非电产品,而是用上了丰富多彩的LED电子产品,为城市的增添了一道靓丽的风景。而且它采用低电压扫描驱动,具有耗电少、使用寿命长、成本低、发光效率高、故障少、视角大、可视距离远、可

9、靠耐用、组态灵活、安全、响应时间短、绿色环保、控制灵活、色彩丰富以及对室内外环境适应能力强等特点。近年来LED显示屏市场得到了迅猛的发展,已经广泛应用到银行、邮电、税务、机场、车站、证券市场及其它交易市场、医院、电力、海关、体育场等需要进行多种公告、宣传的场合。 因此,学习LED系统原理与工程技术很有必要。通过设计一个可显示文字与图形的16*64点阵控制器来学习和熟悉LED的使用。1 系统的设计与分析本文是通过设计一个可显示文字与图形的16*64点阵控制器电路来学习和熟悉LED的使用,LED点阵控制器分为五个模块,系统框图(如图1),一是参数输入部分,例如温度、湿度、亮度等;二是字库部分,如1

10、6点阵、24点阵或者32点;三是单片机控制部份;四是接口部分(如图2),一般采用08接口,如果需要不同的接口类型,可以根据08接口为基础进行转接,这也是本系统采用08接口的原因之一;五是串口通讯部分(图3),其中亮度、温度、时钟模块由于时间的原因没有完善,等待扩展。 图1 系统框图 图 2 标准08接口部分 图3 串口通讯部分1.1 LED点阵控制原理显示屏是由发光二极管行列组成的LED点阵模块组成显示屏体。1.1.1 LED简介LED是发光二极管英文Light Emitting Diode的缩写格式,LED器件种类繁多,早期的LED产品是单个发光管,随着数字化设备的出现,LED数码管和字符管

11、得到了广泛的应用,LED点阵等显示器件的出现,适应了信息化社会发展的需要,成为了大众传媒的重要工具。LED发光灯按类型可以分为单色发光灯、双色发光灯、三色发光灯、面发光灯、闪烁发光灯、电压型发光灯等;按发光强度可分为普通亮度发光灯、高亮度发光灯、超高亮度发光灯等;LED发光灯结构如图2所示,它由芯片3、阳极引脚1、阴极引脚2和环氧树脂封装外壳四部分组成。它核心部分是具有复合发光功能的PN结,即芯片3。环氧树脂封装外壳具有保护芯片的作用,还有透光聚光的能力,以增强显示效果。图41.1.2 LED点阵 随着LED应用领域的扩大,要求生产更为直接和方便的LED显示器件。因而出现了数码管、字符管、电平

12、管、LED点阵等多种LED显示器。不管显示器的结构怎么变,它的核心部件仍然是发光半导体芯片。例如一个8*8的点阵是由64个发光二极管按一个规律组成的,如图3。图5如图3所示的发光二极管,行接低电平,列接高电平,发光二极管导通发光。1.1.3 显示原理人眼的亮度感觉不会因光源的消失而立即消失,要有一个延迟时间,这就是视觉的惰性。视觉惰性可以理解为光线对人眼视觉的作用、传输、处理等过程都需要时间,因而使视觉具有一定的低通性。实验表明,当外界光源突然消失时,人眼的亮度感觉是按指数规律逐渐减小的。这样当一个光源反复通断,在通断频率较低时,人眼可以发现亮度的变化;而通断频率增高时,视觉就逐渐不能发现相应

13、的亮度变化了。不致于引起闪烁感觉的最低反复通断频率称为临界闪烁频率。通过实验证明临界闪烁频率大约为24Hz。因此采用每秒24幅画面的电影,在人看起来就是连续活动的图象了。同样的原理,日光灯每秒通断50次,而人看起来却是一直亮的。由于视觉具有惰性,人们在观察高于临界闪烁频率的反复通断的光线时,所得到的主观亮度感受实际上是客观亮度的平均值。视觉惰性可以说是LED显示屏得以广泛应用的生理基础。首先,在LED显示屏中可以利用视觉惰性,改善驱动电路的设计,形成了目前广为采用的扫描驱动方式。扫描驱动方式的优点在于LED显示屏不必对每个发光灯提供单独的驱动电路,而是若干个发光灯为一组共用一个驱动电路,通过扫

14、描的方法,使各组发光灯依次点燃,只要扫描频率高于临界闪烁频率,人眼看起来各组灯都在发光。由于LED显示屏所使用的发光灯数量很大,一般在几千只到几十万只的范围,所以节约驱动电路的效益是十分可观的。1.1.4 显示屏的原理图及结构 图6行扫描部分 图7 列扫描部分 根据显示屏的原理图结构,分析LED点阵控制器的控制原理:如显示10个汉字,先将这10个汉字的点阵从字库中读出,放到显示缓存,如果要实现左移或者其它的显示效果则将显示缓存中的每个位进行移位或者其它处理,然后再调用扫描显示函数就可以实现所规定的效果。扫描显示函数是显示缓存的内容,如果要实现不同的内容,比如说图片、汉字、英文等内容,只需要将这

15、些内容按扫描显示函数对显示缓存的协议要求就可以显示出来。由于显示屏中采用74HC595移位缓存器,所以需要做74HC595的驱动,这个相对简单,只要将数据按位传输,一位送一个时钟,送完一行所有的数据送一个锁存时钟,再通过74HC138选通该行,这样一直循环,人眼就会看到一幅完整的内容。 对于显示屏来说,显示使能端是比较重要的,主要是因为送完一行后需要一个消隐的动作,所谓的消隐就是让显示屏黑屏一段时间,如果不做该动作,则在显示的过程中会有拖影的现象。1.3 单片机小系统1.3.1 51系列单片机的概述单片机也被称作“单片机微型计算机”、“微控制器”、“嵌入式微控制器”,国际上采用“MCU”(Mi

16、cro Controller Unit)称呼单片机。如果将8位单片机的推出作为起点(1976年),那么单片机的发展的历史大致可以分为4个阶段。第一阶段是单片机探索阶段,主要探索如何把计算机的主要部件集成在单芯上;第二阶段是单片机完善阶段,完善了8位单片机的并行总线结构、外围功能单元由CPU集中管理模式、体现控制特性的位地址空间和位操作方式、指令系统趋于丰富和完善,并且增加了许多突出控制功能的指令;第三阶段是向微控制器发展的阶段,说的是在51系列的基本结构的基础上,加强了外围电路的功能,突出了单片机的控制功能,将一些用测控对象的模数转换器、数模转换器、程序运行监视器、脉宽调制器等纳入芯片中,体现

17、单片机的微控制器特征;第四阶段是单片机的全面发展阶段,很多大半导体和电气厂商都开始加入单片机的研制和生产,单片机世界出现了百花齐放,欣欣向荣的景象。随着单片机在各个领域全面深入地发展和应用,出现了高速、大寻址范围、强运算能力的8位、16位、32位通用型单片机,以及小型谦价的专用型单片机。目前,单片机正朝着高性能和多品种方向发展,今后单片机的发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装等方面发展。1.3.2单片机的组成图7是单片机典型组成框图,由图可见它通过内部总线把计算机的各主要部件连为一体,其内部总线包括地址总线、数据总线和控制总线。其中,地址总线的

18、作用是为进行数据交换时提供地址,CPU通过将地址输出到存储器或I/O接口;数据总线用于在CPU与存储器或I/O接口之间或存储器与外设之间交换数据;控制总路线包括CPU发出的控制信号线和外部送入CPU的应答线等。图8 单片机结构框图 51系列有3种封装形式,一种是DIP(Dual Inline Package)封装形式,一种是LCC(Quad Flat Package)封装形式。这种形式是具有44个“J”形脚的方型芯片。另一种是QFP(Quad Flat Package)封装形式, 这种形式是具有44个“J”形脚的方型芯片,但它的体积更小、更薄,是一种表面贴焊的封装形式。下面介绍下89S52单片

19、机的引脚的功能和其内部结构图。AT89S52单片机实际有郊的引脚为40个,以下是89S52单片机的DIP封装形式的引脚的名称,如图8。 图9 单片机的引脚说明图9是89S52的内部结构图,由图可以看到在单片机内部除了有CPU、RAM、ROM和定时器、串行口等主要功能部件之外,还有驱动器、锁存器、指令寄存器、地址寄存器等辅助电路部分,以及各功能模块在单片机中的位置和相互关系。图10 89S52的内部结构2 程序设计2.1 程序的思路根据点阵的显示原理就可以编写出显示函数,整个程序的设计分为串口通讯协议、扫描程序函数、效果处理函数。2.1.1 串口通讯协议#p:为显示图片#c:为显示汉字#l:为显

20、示英文#n:为显示数字所有的控制命令必须以回车结束,指令接收并解码正确则返回“Receive OK” ,否则返回“error”2.1.2 显示处理效果函数 本系统只做了两个显示效果,一个是闪烁,一个是左移。闪烁相对简单,先显示延时,清屏延时,再显示。左移则相对复杂,需要将显示缓存的内容全部左移一点,左移的时候取前一个字节的低位等于后一个字节的低位,一屏移完一点后送显示,再移一点,这样循环就可以实现了左移的效果。2.1.3 显示函数 这个函数是将显示缓存的内容送到显示屏,做一个74HC595的驱动即可,送完一行数据后点亮,再送下一行,这样循环16次,就可以扫描完16行高的显示屏。2.2 程序流程

21、图程序初始化左移或者闪烁显示,是否显示完 串口发送显示命令将显示缓存送显示屏显示,扫描16行 NO传入参数为移动速度。YES中断程序初始化主要程序见附录。3 总结通过这次实训,真正地做了一个点阵控制器,能显示汉字、图片、英文、数字,虽然之前有接触过LED点阵,但是一直是在门外看,没有真正深入进去实际操作,只是对显示原理有个大概的了解;本来计划将时钟、亮度、温度加入到整个系统中来,这样就更加接近实际应用,但是由于时间的关系,只做了串口通讯部分进去,而且功能也较为简单。在调试串口通讯花的时候是最多的,主要是因为串口通讯芯片与单片机的连接错误,导致无法通讯。在整个实训过程中,真正能学到东西的是调试,

22、虽然我们平常使用的Proteus仿真软件也与实际差距越来越小,但是理论与实际的还是有相当的不同,最关键的是发现问题,并解决问题,这样会对以后的学习中都有较大的帮助。除此之外,在实训的过程中与其它同学之间互相沟通与交流,通过学习其它的设计思路,发现其优点及缺点,然后一起讨论,这有利于提高我们的综合能力,为以后走上好的工作岗位打下坚实的基础。谢 辞实训临近结束了,在此过程中很多同学和老师都在耐心的帮助我。衷心感谢老师的悉心指导和同学们的热情帮助,在我实训完成过程中,老师同学提供了许多宝贵意见,让我学到了许多知识,开阔了眼界,增强了我的动手和动脑能力。同时,感激06级师兄的帮助,有了他们耐心的指导我

23、做板,我才能在规定的时间完成这次实训,并从中学到了更多的知识。我水平有限,所以这次设计还存在着许多不尽如人意的地方,欢迎老师和同学批评指正。此外,还要感谢我们学院为我们提供这个机会和必要物质支持。 参考文献1 陈孟建等编著.C语言程序设计.电子工业出版社,2006.6:1936 ,171182.2 郭勇编著.Protel 99 SE印制电路板设计教程.机械工业出版社,2005.1:51503 徐爱钧,彭秀华编著.单片机高级语言c51windows环境编程与应用.电子工业出版社,2001.7:1112,附 录具体程序如下:串口通讯部分:/*函数名称:Interrupt_serial()传入参数:

24、 无函数功能:串口通讯协议*/void Interrupt_serial() interrupt 4 using 1 EA = 0; if(RI) Serial_Int_tempReceiv_Count=SBUF; / Receiv_Count+; if(Receiv_Count=4) if(Serial_Int_tempReceiv_Count-2=0x0d & Serial_Int_tempReceiv_Count-1=0x0a)/以回车结尾 Send_char(&Serial_Int_temp0,Receiv_Count); if(Serial_Int_temp0=#) /指令格式 sw

25、itch(Serial_Int_temp1) case p: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case n: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case l: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case c: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; default: Send_char(&Seria

26、l_Error0,7); /指令错误 break; scan_mod=Serial_Int_temp1; /显示内容 cldispb(); /清缓存 else Send_char(&Serial_Error0,7); /指令错误 else Send_char(&Serial_Error0,7); /指令错误 Receiv_Count=0;/接收数据字节计数器 if(Receiv_Count=39)Receiv_Count=0; RI=0; /清接收标志 EA = 1; /开中断/*函数名称:display1p()传入参数:无函数功能:扫描显示屏*/void display1p() uchar

27、temp,j,k,i; unsigned char *point,*point1; uchar hangxu=1; point1=&disp_buf0; point = point1; for(j=0;j16;j+) for(k=0;kplong;k+) temp=*point; for(i=0;i8;i+) CLK=0; R1=1; if(temp&0x80)=0x00) R1=0; CLK=1; temp=1; point+; OE=0; STR=0; STR=1; STR = 0; Showline(j); OE=1; ddlay(9); OE=0; point=point1+plong

28、*(j); /*函数名称:moveleft(unsigned char sspp)传入参数: unsigned char sspp 移动速度函数功能:左移显示效果*/void moveleft(unsigned char sspp)uchar i,j,k; switch(scan_temp) case p: photo_todisp(); break; case l: letter_todisp(); break; case n: num_todisp(); break; case c: dztodisp(); break; default: dztodisp(); break; for(i=

29、0;iplong;i+)/屏的长度for(j=0;j8;j+)leftoned();/左移1点for(k=0;ksspp;k+)display1p(); if(scan_mod != scan_temp)scan_temp=scan_mod;goto exit; /是否接收到命令 exit:; /退出标记 cldispb(); /清显示缓存完整源程序1,main.c 主函数/*文件清单:main.c 主函数code_area.c 字库表display.c 显示相关函数com.c 串口通信相关函数CPU含256B RAM现分配如下:00H - 07FH 程序常规使用 128B7FH - FFH

30、显示缓存 128B*/#include #include #include #include #include #define uint unsigned int #define uchar unsigned charuchar Serial_Int_temp5;uchar Receiv_Count=0; /串口接收字节计数uchar scan_mod;sbit R1= P00 ;sbit R2= P01;sbit G1= P02;sbit G2= P03;sbit LL1 = P04;sbit LL2= P05;sbit LL3= P06;sbit LL4= P07;sbit OE= P23

31、 ;sbit STR= P22;sbit CLK= P21; void main()/主函数/SetTime( 0x12 , 0x23 ,0x50 ); SP = 0X30; Init_com(); Send_char(&Serial_Strar_inf0,27); /onedisp(20);while(1) cldispb(); moveleft(20); flicker(5,5);/*GetTime(); /读DS1302 temp= ADC0832(); */*函数名称:Interrupt_serial()传入参数: 无函数功能:串口通讯协议*/void Interrupt_serial

32、() interrupt 4 using 1 EA = 0; if(RI) Serial_Int_tempReceiv_Count=SBUF; / Receiv_Count+; if(Receiv_Count=4) if(Serial_Int_tempReceiv_Count-2=0x0d & Serial_Int_tempReceiv_Count-1=0x0a) Send_char(&Serial_Int_temp0,Receiv_Count); if(Serial_Int_temp0=#) /指令格式 switch(Serial_Int_temp1) case p: Send_char(&

33、Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case n: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case l: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; case c: Send_char(&Serial_Recei_OK0,10); /命令正确,反馈接收成功信息 break; default: Send_char(&Serial_Error0,7); /指令错误 break; scan_mod=Serial_

34、Int_temp1; /显示内容 cldispb(); else Send_char(&Serial_Error0,7); /指令错误 else Send_char(&Serial_Error0,7); /指令错误 Receiv_Count=0; if(Receiv_Count=39)Receiv_Count=0; RI=0; EA = 1;Main.c所用到的头文件源代码:(1),display.h#define _DISPLAY_H#include #define uint unsigned int #define uchar unsigned char/extern void displ

35、ay1p();/显示函数extern void process_disbuf(unsigned char char_num);extern void onedisp(unsigned char ttime);extern void display1p();extern void moveleft(unsigned char sspp);extern void cldispb(void);/清显示缓存区extern void flicker(unsigned char sspeed,unsigned char stop);/闪烁显示/extern void display(uint disp_l

36、ong,uchar disp_times,uchar effect);/extern void display();/extern unsigned char xdata disp_buf128;/extern unsigned char disp_buf32;(2),com.h#define _COM_H#include #define uint unsigned int #define uchar unsigned charextern void Send_char(uchar *Data_addr,uchar char_num);extern unsigned char code Ser

37、ial_data42;extern unsigned char code Serial_Recei_OK10;extern unsigned char code Serial_Error7;extern unsigned char code Serial_Strar_inf27;extern void Init_com();(3),main.h #define _MAIN_H#include #define uint unsigned int #define uchar unsigned charextern uchar scan_mod;2,code_area.c LED点阵的字库/英文的A

38、SCII为97-122(十进制) 61-7A(ASCII码)#include unsigned char code num_dotmatrix1016=/*- 文字: 0 -*/ /*- 宋体12; 此字体下对应的点阵为:宽x高=8x16 -*/ 0xFF,0xFF,0xFF,0xE7,0xDB,0xBD,0xBD,0xBD,0xBD,0xBD,0xBD,0xBD,0xDB,0xE7,0xFF,0xFF,/*0,0*/0xFF,0xFF,0xFF,0xEF,0x8F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0x83,0xFF,0xFF,/*1,1*/0x

39、FF,0xFF,0xFF,0xC3,0xBD,0xBD,0xBD,0xFB,0xFB,0xF7,0xEF,0xDF,0xBD,0x81,0xFF,0xFF,/*2,2*/0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xFB,0xE7,0xFB,0xFD,0xFD,0xBD,0xBB,0xC7,0xFF,0xFF,/*3,3*/0xFF,0xFF,0xFF,0xFB,0xF3,0xEB,0xDB,0xDB,0xBB,0xBB,0x81,0xFB,0xFB,0xE1,0xFF,0xFF,/*4,4*/0xFF,0xFF,0xFF,0x81,0xBF,0xBF,0xBF,0xA7,0

40、x9B,0xFD,0xFD,0xBD,0xBB,0xC7,0xFF,0xFF,/*5,5*/0xFF,0xFF,0xFF,0xE3,0xDB,0xBF,0xBF,0xA7,0x9B,0xBD,0xBD,0xBD,0xDB,0xE7,0xFF,0xFF,/*6,6*/0xFF,0xFF,0xFF,0x81,0xBB,0xBB,0xF7,0xF7,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xFF,0xFF,/*7,7*/0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xBD,0xDB,0xE7,0xDB,0xBD,0xBD,0xBD,0xC3,0xFF,0xFF,

41、/*8,8*/0xFF,0xFF,0xFF,0xE7,0xDB,0xBD,0xBD,0xBD,0xD9,0xE5,0xFD,0xFD,0xDB,0xC7,0xFF,0xFF/*9,9*/;unsigned char code picture_code128=/*-图片-*/*-宽度16*64*/0xFF,0xFF,0xFF,0xE0,0x7F,0xFE,0x03,0xFF,0xF8,0x01,0xFF,0xCF,0x3F,0xFC,0xF8,0x7F,0xF3,0xFC,0xFF,0xBF,0x9F,0xF3,0xFF,0x3F,0xE6,0x66,0x3E,0x7F,0xCF,0xF5,0x

42、AD,0xDF,0xEC,0x63,0xBE,0xC4,0x27,0xEC,0x21,0xCF,0xE9,0x5B,0xBC,0xFF,0xF3,0xCE,0x73,0xEF,0xEF,0xFF,0xBD,0xFF,0xFB,0xDF,0xFF,0xEF,0xEF,0xFF,0xBD,0xFF,0xF3,0xDF,0xFF,0xEF,0xEE,0xF7,0xBC,0xE0,0x77,0xCC,0xFD,0x9F,0xEE,0x67,0xBE,0xFF,0xF7,0xEE,0xF9,0x9F,0xEF,0x0F,0x3E,0x7F,0xF7,0xEE,0x03,0x3F,0xE7,0xFF,0x

43、7F,0x3F,0xC7,0xF7,0xFF,0x7F,0xF7,0xFE,0x7F,0xBF,0x8F,0xF1,0xFE,0x7F,0xF3,0xFC,0xFF,0x9F,0xBF,0xFC,0xFC,0xFF,0xF8,0x01,0xFF,0xC0,0x7F,0xFF,0x01,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/*未命名文件,0*/;unsigned char code dotmatrix_chinese832=0xDF,0xBF,0xDF,0xBF,0xDF,0xBF,0xDC,0x03,0x03,0xBF,0xDF,0xBF,

44、0x88,0x01,0x97,0xFF,0x97,0xBF,0x5F,0xBF,0xDC,0x03,0xDF,0xBF,0xDF,0xBF,0xDF,0xBF,0xD0,0x01,0xDF,0xFF,/*桂,0*/0xEF,0xDF,0xEF,0xDF,0xEF,0xDF,0xEF,0xDF,0x02,0x01,0xEF,0xDF,0xEF,0x9F,0xC7,0x8F,0xCB,0x4F,0xAB,0x57,0xAE,0xD7,0x6D,0xD9,0xEB,0xDB,0xEF,0xDF,0xEF,0xDF,0xEF,0xDF,/*林,1*/0xFE,0xFF,0xFE,0xFF,0xFE,0

45、xFF,0xC0,0x07,0xDE,0xF7,0xDE,0xF7,0xC0,0x07,0xDE,0xF7,0xDE,0xF7,0xDE,0xF7,0xC0,0x07,0xDE,0xF7,0xFE,0xFD,0xFE,0xFD,0xFF,0x01,0xFF,0xFF,/*电,2*/0xFF,0xFF,0xC0,0x0F,0xFF,0xDF,0xFF,0xBF,0xFF,0x7F,0xFE,0xFF,0xFE,0xFF,0xFE,0xFB,0x00,0x01,0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0xFA,0xFF,0xFD,0xFF

46、,/*子,3*/0xF9,0xF7,0x87,0x77,0xF7,0xB7,0xF7,0xB7,0x01,0xF7,0xE7,0x77,0xE3,0xB7,0xD5,0xB7,0xD7,0xF1,0xB7,0x87,0x74,0x77,0xF7,0xF7,0xF7,0xF7,0xF7,0xF7,0xF7,0xF7,0xF7,0xF7,/*科,4*/0xEF,0xDF,0xEF,0xDF,0xEF,0xDF,0x02,0x01,0xEF,0xDF,0xEB,0xDF,0xE6,0x03,0xCE,0xF7,0x2F,0x77,0xEF,0x6F,0xEF,0x9F,0xEF,0x9F,0xEF,

47、0x6F,0xEE,0xF1,0xA9,0xFB,0xDF,0xFF,/*技,5*/0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0xFE,0xFF,0x00,0x01,0xFE,0xFF,0xFD,0x7F,0xFD,0x7F,0xFD,0xBF,0xFB,0xBF,0xFB,0xDF,0xF7,0xEF,0xEF,0xE7,0xDF,0xF1,0xBF,0xFB,/*大,6*/0xFE,0xF7,0xEF,0x73,0xF3,0x37,0xF7,0x6F,0x80,0x01,0xBF,0xFB,0x70,0x17,0xFF,0xBF,0xFF,0x7F,0

48、x80,0x01,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFD,0x7F,0xFE,0xFF/*学,7*/ ;unsigned char code letter_matrix2616=0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC3,0xBD,0xE1,0xDD,0xBD,0xBD,0xC0,0xFF,0xFF,/*a,0*/0xFF,0xFF,0xFF,0x3F,0xBF,0xBF,0xBF,0xA7,0x9B,0xBD,0xBD,0xBD,0x9B,0xA7,0xFF,0xFF,/*b,1*/0xFF,0xFF,0x

49、FF,0xFF,0xFF,0xFF,0xFF,0xE3,0xDD,0xBF,0xBF,0xBF,0xDD,0xE3,0xFF,0xFF,/*c,2*/0xFF,0xFF,0xFF,0xF9,0xFD,0xFD,0xFD,0xE1,0xDD,0xBD,0xBD,0xBD,0xD9,0xE4,0xFF,0xFF,/*d,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC3,0xBD,0x81,0xBF,0xBF,0xBD,0xC3,0xFF,0xFF,/*e,4*/0xFF,0xFF,0xFF,0xF0,0xEE,0xEF,0xEF,0x81,0xEF,0xEF,0

50、xEF,0xEF,0xEF,0x83,0xFF,0xFF,/*f,5*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC1,0xBB,0xBB,0xC7,0xBF,0xC3,0xBD,0xBD,0xC3,/*g,6*/0xFF,0xFF,0xFF,0x3F,0xBF,0xBF,0xBF,0xA3,0x9D,0xBD,0xBD,0xBD,0xBD,0x18,0xFF,0xFF,/*h,7*/0xFF,0xFF,0xFF,0xCF,0xCF,0xFF,0xFF,0x8F,0xEF,0xEF,0xEF,0xEF,0xEF,0x83,0xFF,0xFF,/*i,8*/0xF

51、F,0xFF,0xFF,0xF3,0xF3,0xFF,0xFF,0xE3,0xFB,0xFB,0xFB,0xFB,0xFB,0xFB,0xBB,0x87,/*j,9*/0xFF,0xFF,0xFF,0x3F,0xBF,0xBF,0xBF,0xB1,0xB7,0xAF,0x97,0xB7,0xBB,0x11,0xFF,0xFF,/*k,10*/0xFF,0xFF,0xFF,0x8F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0x83,0xFF,0xFF,/*l,11*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x01,0xB6,0xB6,0xB6,0xB6,0xB6,0x12,0xFF,0xFF,/*m,12*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x23,0x9D,0xBD,0xBD,0xBD,0xBD,0x18,0xFF,0xFF,/*n,13*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xBD,0xBD,0xBD,0xC3,0xFF,0xFF,/*o,14*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x27,0x9B,0xBD,0

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!