毕业设计超声波测距器的设计报告

上传人:ail****e1 文档编号:43631944 上传时间:2021-12-03 格式:DOC 页数:26 大小:567.50KB
收藏 版权申诉 举报 下载
毕业设计超声波测距器的设计报告_第1页
第1页 / 共26页
毕业设计超声波测距器的设计报告_第2页
第2页 / 共26页
毕业设计超声波测距器的设计报告_第3页
第3页 / 共26页
资源描述:

《毕业设计超声波测距器的设计报告》由会员分享,可在线阅读,更多相关《毕业设计超声波测距器的设计报告(26页珍藏版)》请在装配图网上搜索。

1、毕业设计论文超声波测距器的设计学 院:信息技术学院姓名:王富娟学号:0943090227班级:Z0902目录第一章前言 21.1 超声波测距器的当前发展情况 2第二章方案论证 32.1 提出方案 3第三章超声波测距的原理 43.1 超声波的介绍 43.1.1 什么是超声波 43.1.2 超声波的特点 43.1.3 超声波的使用 43.2 超声波测距器的原理 43.2.1 超声波发生器 43.2.2 压电式超声波发生器原理 43.2.3 超声波测距原理 5第四章系统的组成 64.1 硬件部分 64.2 软件部分 64.2.1 主程序 64.2.2 超声波发生子程序和超声波接收中断程序 7第五章

2、系统硬件电路设计 85.1 单片机系统及显示电路 85.2 超声波发射电路原理图 95.3 超声波检测接收电路 9第六章系统程序设计116.1 超声波测距器的算法设计 11第七章结论 12第八章致谢 13附:总电路图 14附:程序清单 151 .前言1.1超声波测距器的当前发展情况超声波测距器,可以使用于汽车倒车、建筑施工工地以及一些工业现场的 位置监控,也可用于如液位、井深、管道长度的测量等场合。使用范围十分的广 泛。超声波是指频率高于20KHZ的机械波。为了以超声波作为检测手段,必须产 生超生波和接收超声波。完成这种功能的装置就是超声波传感器,习惯上称为超 声波换能器或超声波探头。超声波传

3、感器有发送器和接收器,但一个超声波传感 器也可具有发送和接收声波的双重作用。超声波传感器是利用压电效应的原理将 电能和超声波相互转化,即在发射超声波的时候,将电能转换,发射超声波;而 在收到回波的时候,则将超声振动转换成电信号。2. 方案论证2.1提出方案由于超声波的指向性强,能量消耗缓慢,在介质中传播的距离较远,因而超 声波经常用于距离的测量。利用超声波检测的距离,设计比较方便,计算处理也 比较简单,并且在测量精度方面也能达到日常使用的要求。根据设计要求提出如下方案。方案:选用STC89C5单片机作为主控制器,用动态扫描法实现LED数字显 示,超声波驱动信号用单片机的定时器完成。超声波测距器

4、系统设计框图3. 超声波测距的原理3.1超声波的介绍3.1.1什么是超声波超声波是指频率高于20KHZ的机械波。3.1.2超声波的特点1、超声波在传播时,方向性强,能量易于集中。2、超声波能在各种不同媒质中传播,且可传播足够远的距离。3、超声和传声媒质的相互作用适中,易于携带有关传声媒质状态的信息3.1.3超声波的使用在全球,超声波广泛运用于诊断学、治疗学、工程学、生物学等领域。1、工程学方面的使用:水下定位和通讯、地下资源勘查等2、生物学方面的使用:剪切大分子、生物工程及处理种子等3、诊断学方面的使用:A型、B型、M型、D型、双功及彩超等4、治疗学方面的使用:理疗、治癌、外科、体外碎石、牙科

5、等3.2超声波测距器的原理3.2.1超声波发生器为了研究和利用超声波,人们已经设计和制成了许多超声波发生器。 总体上 讲,超声波发生器可以分为两大类:一类是用电气方式产生超声波,一类是用机 械方式产生超声波。电气方式包括压电型、磁致伸缩型和电动型等;机械方式有 加尔统笛、液哨和气流旋笛等。它们所产生的超声波的频率、功率和声波特性各 不相同,因而用途也各不相同。目前较为常用的是压电式超声波发生器。本设计属于近距离测量,可以采用常用的压电式超声波换能器来实现。3322压电式超声波发生器原理压电式超声波发生器实际上是利用压电晶体的谐振来工作的。超声波发生器内部结构如图所示,它有两个压电晶片和一个共振

6、板。当它的两极外加脉冲信号, 其频率等于压电晶片的固有振荡频率时, 压电晶片将会发生共振,并带动共振板 振动,便产生超声波。反之,如果两电极间未外加电压,当共振板接收到超声波 时,将压迫压电晶片作振动,将机械能转换为电信号,这时它就成为超声波接收 器了。超声液转化结构图电晶片3.2.3超声波测距原理超声波发射器向某一方向发射超声波,在发射时刻的同时开始计时,超声波在空气中传播,途中碰到障碍物就立即返回来,超声波接收器收到反射波就立即 停止计时。超声波在空气中的传播速度为340m/s,根据计时器记录的时间t,就 可以计算出发射点距障碍物的距离(s),即:s=340t/2超声波测距的原理一般采用渡

7、越时间法 TOF(time of flight )。首先测出 超声波从发射到遇到障碍物返回所经历的时间,再乘以超声波的速度就得到二倍的声源和障碍物之间的距离测量距离的方法有很多种,短距离的可以用尺,远距离的有激光测距等,超 声波测距适用于高精度的中长距离测量。因为超声波在标准空气中的传播速度为 331.45 米/秒,由单片机负责计时,单片机使用12.0M晶振,所以此系统的测量 精度理论上可以达到毫米级。4. 系统的组成4.1硬件部分3主要由单片机系统及显示电路、超声波发射电路和超声波检测接收电路三部分组成。采用ST89C51来实现对CX20106A红外接收芯片和TCT40-10系列超声波转换模

8、块的控制。单片机通过 P1.6引脚经反相器来控制超声波的发送,然后单片机不停的检测INT0引脚,当INT0引脚的电平由高电平变为低电平时就认为超 声波已经返回。计数器所计的数据就是超声波所经历的时间,通过换算就可以得到传感器和障碍物之间的距离。4.1.1 AT89C51 简介AT89C51 是一种带 4K 字节 FLASH存储器(FPEROFlash Programmable and Erasable Read Only Memory)的低电压、高性能 CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的 单片机。单片机的可擦除只读存储器可以反复擦

9、除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,和工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。(TJtCS F3- 1 pas lOHTj ” 3 .(Tfll) F3 * E (f U S Pl A ” t XTAL2QMD .外形及引脚排列如图所示1 vccIPO.O |A.D0|1 PO 1J PMPff 3ii* & 44 »P0.5 tAD3l

10、3 " B>fc P&.T 2")幻;ALEiPROG1 iPl. T M 1 fl |IFJ ft W|i T F , W 卜 J P2 4 ifAlfhPY J i|A11|PI 2 f 叭3 P2 1iPJ ft 4鼻时AT89C51主要特性:-和MCS-51兼容-4K字节可编程FLASH存储器寿命:1000写/擦循环数据保留时间:10年全静态工作:0HZ-24MHZ三级程序存储器锁定 128X8位内部RAM 32可编程I/O线两个16位定时器/计数器5个中断源可编程串行通道低功耗的闲置和掉电模式片内振荡器和时钟电路管脚说明:VCC供电电压。GND接地。

11、P0 口: P0 口为一个8位漏级开路双向I/O 口,每脚可吸收 8TTL门 电流。当P0 口的管脚第一次写1时,被定义为 高阻输入。P0能够用于外部 程序数据存储器,它可以被定义为数据/地址的第八位。在 FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时 P0外部必须被拉咼。P1 口: P1 口是一个内部提供上拉电阻的8位双向I/O 口,P1 口缓冲器能接收输出4TTL门电流。P1 口管脚写入1后,被内部上拉为高,可用 作输入,P1 口被外部下拉为低电平时,将输出电流,这是由于内部上拉的 缘故。在FLASH编程和校验时,P1 口作为第八位地址接收。P2 口:

12、 P2 口为一个内部上拉电阻的8位双向I/O 口,P2 口缓冲器可接收,输出4个TTL门电流,当P2 口被写“ 1”时,其管脚被内部上拉电 阻拉高,且作为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2 口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2 口输出地址的高八位。在给出地址“ 1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2 口在FLASH编程和校验时接收高八位地址信号和控制信号。P3 口: P3 口管脚是8个带内部上拉电阻的双向I/O 口,可接收输出4个TTL门电流。当

13、P3 口写入“ T后,它们被内部上拉为高电平,并用 作输入。作为输入,由于外部下拉为低电平,P3 口将输出电流(ILL )这是由于上拉的缘故。P3 口也可作为 AT89C51的一些特殊功能口,如下表所示:口管脚备选功能P3.0 RXD (串行输入口)P3.1 TXD (串行输出口)P3.2 /INTO (外部中断0)P3.3 /INT1 (外部中断1)P3.4 T0 (记时器0外部输入)P3.5 T1 (记时器1外部输入)P3.6 /WR (外部数据存储器写选通)P3.7 /RD (外部数据存储器读选通)P3 口同时为闪烁编程和编程校验接收一些控制信号。RST:复位输入。当振荡器复位器件时,要

14、保持RST脚两个机器周期的高电平时间。ALE/PROG当访问外部存储器时,地址锁存允许的输出电平用于锁 存地址的地位字节。 在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作 外部数据存储器时, 将跳过一个 ALE脉冲。如想禁止ALE的输出可在SFR8EH 地址上置0。此时,ALE只有在执行 MOVX MOVC旨令是ALE才起作用。另 外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。/PSEN:外部程序存储器的选通信号。在由外部程序存

15、储器取指期 间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(OOOOH-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET当/EA端保持高电平时, 此间内部程序存储器。 在FLASH 编程期间,此引脚也用于施加 12V编程电源(VPP。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。振荡器特性:XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可 以配置为片内振荡器。石晶振荡和陶瓷振荡均可采

16、用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部 时钟信号 要通过一个二分频触发器, 因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。4.1.2CX20106A红外接收芯片简介使用原理图如下gm 鬲 -N2 3D 勺 ETDI 忖VCC引脚号说明1超声信号输入端,该脚的输入阻抗约为 40k Q。2该脚和地之间连接RC串联网络,它们是负反馈串联网络的一个组成部 分,改变它们的数值能改变前置放大器的增益和频率特性。增大电阻 R1或减小C1,将使负反馈量增大,放大倍数下降,反之则放大倍数增 大。但C1的改变会影响到频率特性,一般在实际使用中不必改动,推 荐选用参

17、数为R1=4.7Q,C1=1 F。3该脚和地之间连接检波电容,电容量大为平均值检波,瞬间相应灵敏 度低;若容量小,则为峰值检波,瞬间相应灵敏度高,但检波输出的 脉冲宽度变动大,易造成误动作,推荐参数为 3.3卩f。4接地端。5该脚和电源间接入一个电阻,用以设置带通滤波器的中心频率f0,阻值越大,中心频率越低。例如,取 R=200kQ时,f042kHz,若取R=220kQ,则中心频率f038kHz。6该脚和地之间接一个积分电容,标准值为 330pF,如果该电容取得太 大,会使探测距离变短。7遥控命令输出端,它是集电极开路输出方式,因此该引脚必须接上一 个上拉电阻到电源端,推荐阻值为 22kQ,没

18、有接受信号是该端输出 为高电平,有信号时则产生下降。8电源正极,4.55.5V。4.2软件部分主要由主程序、超声波发生子程序、超声波接收中断程序等部分。4.2.1主程序主程序首先对系统环境初始化,设置定时器TO工作模式为16位的定时计数 器模式,置位总中断允许位 EA并给显示端P0和P2清0。然后调用超声波发生 子程序送出一个超声波脉冲,为避免超声波从发射器直接传送到接收器引起的直 接波触发,需延迟0.1ms(这也就是测距器会有一个最小可测距离的原因)后,才 打开外中断0接收返回的超声波信号。由于采用12MHZ勺晶振,机器周期为1us, 当主程序检测到接收成功的标志位后,将计数器T0中的数(即

19、超声波来回所用的时间)按下式计算即可测得被测物体和测距仪之间的距离,设计时取20C时的 声速为 344 m/s 则有: d=(C*T0)/2 =172T0/10000cm (其中 T0 为计数器 T0 的计数值)测出距离后结果将以十进制BCD码方式LED,然后再发超声波脉冲重复测量过程。主程序框图如下 :9422超声波发生子程序和超声波接收中断程序超声波发生子程序的作用是通过 P1.6端口发送2个左右的超声波信号频率 约40KHZ的方波,脉冲宽度为12us左右,同时把计数器TO打开进行计时。超声 波测距器主程序利用外中断0检测返回超声波信号,一旦接收到返回超声波信号(INTO引脚出现低电平),

20、立即进入中断程序。进入该中断后就立即关闭计时器 TO停止计时,并将测距成功标志字赋值1。如果当计时器溢出时还未检测到超声 波返回信号,则定时器TO溢出中断将外中断0关闭,并将测距成功标志字赋值 2以表示此次测距不成功。单片a witC1定时中畸掰锁锄入口r1_bFtit盹!再止釧外部申断入口1关外部申斷外部中断子程序开外密中斷s iisffaas因定时郴懈手掰的软件设计图(c)外部申断服务于程序5. 系统硬件电路设计5.1单片机系统及显示电路单片机采用89S51或其兼容系列。采用12MHz高精度的晶振,以获得较稳定 的时钟频率,减小测量误差。单片机用P1.6端口输出超声波转化器所需的40KHZ

21、 方波信号,利用外中断0 口检测超声波接受电路输出的返回信号。 显示电路采用 简单实用的1602液晶显示。5.2超声波发射电路原理图:压电超声波转换器的功能:利用压电晶体谐振工作。内部结构上图所示,它有两个压电晶片和一个共振 板。当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片将会发生共振,并带动共振板振动产生超声波,这时它就是一超声波发生器;如没加电压,当共振板接受到超声波时,将压迫压电振荡器作振动,将机械能转 换为电信号,这时它就成为超声波接受转换器。超声波发射转换器和接受转换器 其结构稍有不同。5.3超声波检测接收电路采用集成电路CX20106A这是一款红外线检波接收

22、的专用芯片,常用于电 视机红外遥控接收器。考虑到红外遥控常用的载波频率 38KHz和测距超声波频率 40KHZ较为接近,可以利用它作为超声波检测电路。实验证明其具有很高的灵敏 度和较强的抗干扰能力。适当改变 C4的大小,可改变接受电路的灵敏度和抗干 扰能力。vcc超声波接收电路图6. 系统程序设计超声波测距软件设计主要由主程序, 超声波发射子程序,超声波接受中断 程序及显示子程序组成。C语言程序设计有利于实现较复杂的算法,汇编语言程 序则具有较高的效率并且容易精确计算程序运行的时间下面对超声波测距器的 算法,主程序,超声波发射子程序和超声波接受中断程序逐一介绍。6.1超声波测距器的算法设计下图

23、示意了超声波测距的原理,即超声波发生器T在某一时刻发出的一个超 声波信号,当超声波遇到被测物体后反射回来, 就被超声波接收器R所接受。这 样只要计算出发生信号到接受返回信号所用的时间,就可算出超声波发生器和反射物体的距离。距离计算公式:d=s/2=(c*t)/2*d为被测物和测距器的距离,s为声波的来回路程,c为声速,t为声波来 回所用的时间声速c和温度有关,如温度变化不大,则可认为声速是基本不变的。如果 测距精度要求很高,则应通过温度补偿的方法加以校正。 声速确定后,只要测得 超声波往返时间,即可求得距离。在系统加入温度传感器来监测环境温度,可进 行温度被偿。这里可以用DS18B20测量环境

24、温度,根据不同的环境温度确定一声 速提高测距的稳定性。为了增强系统的可靠性,应在软硬件上采用抗干扰措施。不同温度下的超声波声速表溫度/*30-20-100102030100声速ch/s)31331932532334434&3867. 结论本设计采用ST89C51单片机作为计时及主控制器、用TCT4 IOFI作超声波 发射器、用TCT40-l0Sl和CX20106A构成超声波检测接收电路。将相关控制编 程,写入单片机,实现了以单片机控制的超声波测距器。本超声波测距器采用硬件电路和软件控制相结合,电路结构简单,低成本,操作方便,工作稳定,测量精度较。可用于日常生活及工农业生产中距离的测量及

25、位置监控。例如管道长度、油井深度、液面高度,建筑施工各点定位等。本超声波测距器只具有测量显示功能,没有反馈和控制功能。其设计思想可 以使用于智能安全系统。例如,在车辆智能自动安全系统中,检测车辆左、右动、 静态障碍物,并显示距离,至危险区域后和智能模糊控制器通信以采取最佳避让措施等。8致谢首先,我要感谢张老师在毕业设计中对我给予的悉心指导和严格要求,同时也感谢本校的一些老师在毕业设计期间所给予我得帮助。在我毕业论文写作期 间,各位老师给我提供了种种专业知识上的指导和日常生活上的关怀,没有您们这样的帮助和关怀,我不会这么顺利的完成毕业设计, 借此机会,向您们表示由 衷的感激。同时还要感谢系实验室

26、在毕业设计期间提供给我们优越的实验条件。接着,我要感谢和我一起做毕业设计的同学。在毕业设计的短短几个月里, 你们给我提出很多宝贵的意见,给了我不少帮助还有工作上的支持,在此也真诚 的谢谢你们。同时,我还要感谢我的寝室同学和身边的朋友, 正是在这样一个团 结友爱,相互促进的环境中,在和他们的相互帮助和启发中,才有我今天的小小 收获。还有许许多多给予我学业上鼓励和帮助的朋友,在此无法 列举,在此 也一并表示忠心地感谢!附录总电路图源程序清单#i nclude <reg52.H>#in elude vintrin s.h> #defi ne uint un sig ned int#

27、defi ne uchar un sig ned char/引脚定义sbitRX = P3 a 2;sbitTX = P1 a 6;sbitCS = P3 a 3;/片选 高电平有效 单片LCD使用时可固定高电平sbitSID = P3 a 4;/数据sbitSCLK = P3 a 5;/ /时钟sbit E=P1A2;15sbit RW=P1A1;sbit RS=P1A0;un sig ned int time=0;long S=0;bit flag =0;un sig ned char disbuff4 = 0,0,0,0,;void delay()int i,j;for(i=0; i&l

28、t;=10; i+)for(j=0; j<=2; j+)Ivoid en able(uchar del)P0 = del;RS = 0;RW = 0;E = 0;delay();E = 1;delay();void write(uchar del)P0 = del;RS = 1;RW = 0;E = 0;delay();E = 1;delay();void L1602_i ni t(void)en able(0x01);en able(0x38);en able(0x0c);en able(0x06);en able(0xd0);void L1602_char(uchar han g,u

29、char lie,char sig n)uchar a;16if(ha ng = 1) a = 0x80;if(ha ng = 2) a = 0xc0;a = a + lie - 1;en able(a);write(sig n);void L1602_str in g(uchar han g,uchar lie,uchar *p)uchar a;if(ha ng = 1) a = 0x80;if(ha ng = 2) a = 0xc0;a = a + lie - 1;en able(a);while(1)if(*p = '0') break;write(*p);P+;void

30、 Dela ynm s(u nsig ned int di) /延时un sig ned int da,db;for(da=0;da<di;da+)for(db=0;db<10;db+);void Con ut(void)time=TH0*256+TL0;TH0=0;TL0=0;S=time*1.87/10;/算岀来是 CMdisbuff1=S/1000;disbuff2=S%1000/100;disbuff3=S%1000%100/10;disbuff4=S%10;L1602_char(2,1,disbuff1+48);L1602_char(2,2,disbuff2+48);L1

31、602_char(2,3,disbuff3+48);L1602_char(2,5,disbuff4+48);void delayms(u nsig ned int ms)un sig ned char i=100,j;18for(;ms;ms-)while(-i)j=10; while(-j);void zdO() in terrupt 1flag=1;void StartModule()TX=1;_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();

32、_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();_n op_();TX=0;void main (void)TMOD=0x01;TH0=0;/TO中断用来计数器溢岀,超过测距范围/中断溢岀标志/T1中断用来扫描数码管和计800MS启动模块/800MS启动一次模块/ 设T0为方式1,GATE=1TL0=0;18TR0=1;L1602_i ni t();/设置液晶显示器Delay nm s(1000);L1602_stri ng(1,1,"dista nee:");L1602_stri ng(2,6,"CM");L1602_char(2,1,disbuff1+48);L1602_char(2,2,disbuff2+48);L1602_char(2,3,disbuff3+48);L1602_stri ng(2,4,".");while(1)StartModule();StartModule();/计算while(!RX);TR0=1; while(RX);TR0=0;Co nut(); delayms(80);/当RX为零时等待/开启计数/当RX为1计数并等待/关闭计数*/80MS19

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!