《模拟电子技术基础》课程设计心电图仪设计与制作

上传人:1666****666 文档编号:36832054 上传时间:2021-11-01 格式:DOC 页数:20 大小:397KB
收藏 版权申诉 举报 下载
《模拟电子技术基础》课程设计心电图仪设计与制作_第1页
第1页 / 共20页
《模拟电子技术基础》课程设计心电图仪设计与制作_第2页
第2页 / 共20页
《模拟电子技术基础》课程设计心电图仪设计与制作_第3页
第3页 / 共20页
资源描述:

《《模拟电子技术基础》课程设计心电图仪设计与制作》由会员分享,可在线阅读,更多相关《《模拟电子技术基础》课程设计心电图仪设计与制作(20页珍藏版)》请在装配图网上搜索。

1、武汉理工大学模拟电子技术基础课程设计- 1 -课程设计任务书课程设计任务书学生姓名:学生姓名: 专业班级:专业班级: 通信工程通信工程 指导教师:指导教师: 工作单位:工作单位: 信息工程学院信息工程学院 题题 目目: : 心电图仪设计与制作心电图仪设计与制作初始条件:初始条件:模拟电子技术基础知识、会使用 Multisim 进行仿真分析.要求完成的主要任务要求完成的主要任务: : 制作一路心电信号放大器,技术指标如下:a电压放大倍数:1000,误差: 5;b3dB 低频截止频率:0.05Hz(可不测试,由电路设计予以保证) ;c3dB 高频截止频率:30Hz,误差:5Hz;d频带内响应波动:

2、在3dB 之内;e共模抑制比: 60dB(含 1.5m 长的屏蔽导联线,共模输入电压范围:7.5V) ;f差模输入电阻: 5M(可不测试,由电路设计予以保证) ;时间安排:时间安排:序号安排内容内容所需时间(天)1电路方案设计12电路仿真与分析23撰写设计报告14答辩1合计5指导教师签名:指导教师签名: 年年 月月 日日武汉理工大学模拟电子技术基础课程设计- 2 -系主任(或责任教师)签名:系主任(或责任教师)签名: 年年 月月 日日目 录摘摘 要要 .3引引 言言 .41 1 设计方案比较设计方案比较 .51.1 光电效应手指脉搏传感器.51.2 压电陶瓷式脉搏传感器.61.3 铜片式脉搏传

3、感器.62 2 单元电路设计单元电路设计 .82.1 前置放大部分.82.2 带通滤波电路.112.3 后级放大电路.123 3 仿真结果及分析仿真结果及分析 .133.1 前置放大电路部分仿真.133.2 带通滤波部分仿真.133.3 放大部分仿真.143.4 整体电路仿真.154 4 总结总结 .175 5 元件清单元件清单 .186 6 参考文献参考文献 .19武汉理工大学模拟电子技术基础课程设计- 3 -摘 要本文主要介绍了如何应用放大电路,抑制共模信号电路,低通滤波电路及后级放大电路设计简易心电图仪.本文还介绍了心电信号的特点及其对放大电路的要求.对于具体的单元电路设计也做了简要介绍

4、.AbstactThis paper mainly introduces how to apply the amplifier circuit and suppress common mode signal circuit,low-pass filter circuit and after-class amplifier design simple Electrocardiograph.This article also describes the characteristics of ECG and its impact on amplifier requirements.With rega

5、rd to the specific circuit design unit also made a brief introduction.武汉理工大学模拟电子技术基础课程设计- 4 -引 言心电图是临床疾病诊断中常用的辅助手段.心电数据采集系统是心电图检查仪的关键部件. 如下图所示,心电信号主要由 P 波、QRS 波群、T 波组成.正常 P波的宽度不超过 0.11s,最高幅度不超过 2.5mm.正常人的 QRS 波群最高不超过0.10s。而 T 波不应低于 R 波 1/10.其主要频率范围为 0.0530HZ,幅度约为05mV,信号十分微弱.心电信号图 由于心电信号中通常混杂有其它生物电信号

6、,加之体外以 50Hz 工频干扰为主的电磁场的干扰,使得心电噪声背景较强,测量条件比较复杂.为了不失真地检出有临床价值的干净心电信号,往往要求心电数据采集系统具有高精度、高稳定性、高输入阻抗、高共模抑制比、低噪声及强抗干扰能力等性能.本文利用OP07 芯片设计了一种符合上述要求的多路心电数据采集系统.武汉理工大学模拟电子技术基础课程设计- 5 -1 设计方案比较1.1 光电效应手指脉搏传感器图 1-1利用指套式光电传感器,指套式光电传感器的换能元件用硅光电池.由于心脏的跳动,引起手指尖的微血管的体积发生相应的变化,当光通过手指尖射到硅光电池时,产生光电效应,这样就把人体的脉搏转换为相应于脉博的

7、电信号, 方便检测.一级放大电路部分:采用运放LM324 作为放大芯片,把指尖取出的极微弱的 0.1uA3 uA 的电流放大约 20 倍.昂二阶低通滤波部分: 按人体脉搏在最高跳动次数 240 次/min 计算,据归一化法设计低通放大器,-3dB 截止频率为 14HZ.电源部分采用电池供电.其优点是,电路结构简单,易于制作.但缺点是,光电效应手指脉搏传感器价格贵,且入射光强太强时,会使输出饱和.此外,由于缺少陷波部分的设计,50HZ 的工频信号会给电路带来极大干扰,使输出信号失真.武汉理工大学模拟电子技术基础课程设计- 6 -1.2 压电陶瓷式脉搏传感器图 1-2选用压电陶瓷片HTD 作为传感

8、器,把人体的脉搏震动转换成为电信号输入到放大整形电路的输入端口. 其优点是制作方便,但价格相对较贵.数据采集部分,用 OP07 这种具有一定精度的普通运算放大器来构建放大电路.滤波部分选用数字滤波.数字滤波的优点是参数可调节性好,可以通过更改程序中的参数对截止频率进行精确的调节.由于参数不会随温度等环境因素改变从而精确度得到保证,但是数字滤波对处理器的要求比较高,想要得到更好的滤波效果就要求滤波器取更高的阶数,处理器时钟周期尽可能小,乘法的计算速度尽可能大,一般非 DSP 处理器达不到要求.电源部分采用 7805 和 7905 输出正负五伏直流电压为运算放大器供电.1.3 铜片式脉搏传感器武汉

9、理工大学模拟电子技术基础课程设计- 7 -图 1-3铜片式脉搏传感器的原理与压电陶瓷类似,由于铜片的形变产生相应的电信号. 其优点是价格低廉,但缺点是极易受到干扰.前置放大电路选用仪用放大器 OP07 作为前置放大器. 由于人体心电信号十分微弱,噪声背景强且信号源阻抗较大,加之电极引入的极化电压差值较大(比心电差值幅度大几百倍),因此,通常要求前置放大器具有高输入阻抗、高共模抑制比、低噪声、低漂移、非线性度小、合适的频带和动态范围等性能, OP07 具有高输入阻抗、低噪音、高精度、较高建立时间、低功耗等特性,而理论上其共模抑制比为无穷大,非常适合作为医疗仪器前置放大器使用.滤波部分选用 OP0

10、7 组成的二阶带通滤波器.其滤波效果比一阶滤波明显,又不像高阶滤波会因为电阻电容累积误差过大影响滤波效果.后级放大部分用 OP07 做放大,电源部分采用正负 12V 直流电压为运算放大器供电.因此,综合各种原因考虑,决定使用铜片式脉搏传感器.武汉理工大学模拟电子技术基础课程设计- 8 -2 单元电路设计2.1 前置放大部分因为接受的信号非常微弱,对于后级的信号处理和测量较难实现,所以在进行信号处理之前必须先对信号进行前置放大.对前置放大电路的要求是超低的噪声,捕获信号的能力较强(因为信号是非常微弱的) ,所以这就需要前放电路有很大的输入电阻.那么根据这一要求,查资料比较之后,我选择了 OP07

11、 这一芯片,该芯片的特点是双电源供电,内部噪声小.对于前放有两种接法,同相放大和反相放大两种.下面分别讨论.(1)同相放大电路对于同相放大电路,其基本电路示意图如图 2-1 所示:图 2-1 同相输入我们知道,理想的运算放大器有一个特点:输入电阻无穷大.所以根据其示意图可以看出,对于同相电路的接法,其输入电阻即为运放的内阻,可是为无穷大,这样电路捕获信号的能力很强,适合作为前置放大.同时这样做也就保证了输入电阻5M 欧.武汉理工大学模拟电子技术基础课程设计- 9 -(2)反相放大电路电路示意图如图 2-2 所示: 图 2-2 反相输入从该电路的特点我们可以看出,该电路的输入阻抗可近似看成 R1

12、 的阻抗,因为我们需要捕获信号的能力很强,尽管可以增加 R1 来提高捕获微弱信号的能力,但是要保证输入电阻5M 欧的话,这样大的电阻会带来很大的热噪声,而且这样也要求反馈电阻更大,进一步加大热噪声,这样非常不利于微弱信号的放大.根据以上的比较,前放电路选择了同相放大的电路形式.武汉理工大学模拟电子技术基础课程设计- 10 -前置放大电路设计电路如图 2-3 所示:图 2-3 前置放大电路这一级的放大倍数为 A=1+R2/R1,选择这一级放大倍数为 50,由于芯片已经保证输入电阻无穷大,所以 R1 可不取很大,取 R1=10K,那么 R2 就取 490K.武汉理工大学模拟电子技术基础课程设计-

13、11 -2.2 带通滤波电路如图 2-4 所示,带通滤波由双运放集成电路 OP07 构成.OP07 具有高精度,低偏置,低功耗等特性,片内集成了两个运放,可灵活组成各类放大和滤波电路.由于心电信号主要集中在 0.05HZ 到 30HZ 的频带范围内,因此,采用 OP07 的两个运放分别设计二阶有源高通和低通滤波器组合成带通滤波.OP07 采用正负 12V 直流电源供电.滤波部分的放大倍数为 1. 图 2-4 带通滤波电路其中 C3,C4,R1,R4 构成二阶高通滤波器,为了不损失心电信号的低频成分,其截止频率设计为 f=0.05HZ.那么,w=2f=0.31416rad/s. 选取电容C3=C

14、4=22uF,则参数 K=100/(fc)=90.9.查阅二阶高通滤波器设计表并综合压控电压源性能参数计算公式 w*w=1/( C3*C4*R1*R4)可得,选取,R1=100K,R4=200K即可.同样,选取 C1,C5,R2,R3 构成低通滤波器.为了不损失其高频成分,截止频率设计为 30HZ. 那么,w=2f=188.496 rad/s. 由计算公式 w*w=1/( C1*C5*R2*R3)可得,选取,C1=3.3 uF,C5=1uF,R2=2.6K,R3=4.7K 即可满足条件.武汉理工大学模拟电子技术基础课程设计- 12 -2.3 后级放大电路后级放大电路如图 2-5 所示:图 2-

15、5 后级放大电路由于前置放大部分选择的放大倍数为 50,所以这一级放大倍数选择为 20,后级放大使用反相放大电路,其电压增益为 A=R2/R1,取 R1=10K,则 R2 取 200K即可.武汉理工大学模拟电子技术基础课程设计- 13 -3 仿真结果及分析本实验采用 Multisim 软件进行仿真,仿真过程及分析如下.3.1 前置放大电路部分仿真仿真波形及幅值如图 3-1:图 3-1输入电压峰值为 4mV,而输出电压峰值为 399/2=199.5mV,计算得放大倍数为 199.5/4=49.9,与设计时所预想的误差非常小.3.2 带通滤波部分仿真带通滤波 20HZ 时波形如图 3-2:图 3-

16、2武汉理工大学模拟电子技术基础课程设计- 14 -此时输出峰峰值 810mV,而输入峰峰值为 800mV,没有失真,放大倍数几乎为 1,符合设定要求.30HZ 时波形如图 3-3:图 3-3可见 30HZ 时输出峰峰值将为 554mV,符合设计要求的-3dB 时高频截止幅值频率 30Hz,即 800*0.7=560 mV.由于 1Hz 以下频率太低,函数发生器不稳定,无法正常测试,所以不再测试.3.3 放大部分仿真放大部分仿真波形如图 3-4 所示:武汉理工大学模拟电子技术基础课程设计- 15 -图 3-4 放大部分波形输入电压峰值为 200mV,输出电压峰值为 8/2=4V,所以这一级放大倍

17、数为4/0.2=20,与设计要求完全符合.3.4 整体电路仿真将前置放大,带通滤波,后级放大级联,如图 3-5:图 3-5 整体电路图输入 20Hz,峰值 4mV(即峰峰值 8mV)正弦信号,得到的输出波形及参数如图 3-6:武汉理工大学模拟电子技术基础课程设计- 16 -图 3-6输入峰值为 4mV(即峰峰值 8mV),而频率为 30Hz 正弦波信号时,输出波形及幅值如图 3-7:图 3-7可以看到,当信号频率为 20Hz 时,输出信号峰峰值为 8.11V,计算放大倍数为 8.11*1000/8=1013.75,误差为 1.375%,在 5%误差范围内.当输入 30Hz 信号时,输出信号峰峰

18、值为 5.55V,-3dB 截止频率 30Hz 时峰峰值应为 8*0.7=5.6V,误差为 0.89%.至此,整套系统的模拟已经全部完成.武汉理工大学模拟电子技术基础课程设计- 17 -4 总结心电系统的前向通路对目前面世的许多医学仪器起着不可漠视的重要角色;这相当于一个基石,没有了它,很多心血管病人不能得到正确的诊断,所以当前对心电的研究是至关重要的.刚要做时,我觉得无法入手,经过长时间的对相关资料的了解,明白自己首要做的事情就是要了解心电信号的特点,因为心电信号输出时的幅度不上 5mV,那么选择一个适合的放大器对设计是第一个要点,在课题的一步步设计下去,碰到的问题不少,如放大电路中芯片的选

19、择,在对比心电放大的各种要求才确定一个最方便、最实用的办法.根据心电信号的特点,设计了一个二阶带通滤波器来对信号进行滤波,也使我明白了多阶滤波器的设计.武汉理工大学模拟电子技术基础课程设计- 18 -5 元件清单函数发生器 一台示波器 一台电阻 200K 2 个1K 10K 20K 490K 100K 2.6K 4.7K 各一个电容 22uF 2 个 3.3uF 1uF 各一个OP07AJ 4 个12V 工作电源 8 个武汉理工大学模拟电子技术基础课程设计- 19 -6 参考文献 1 模拟电子技术基础 ,吴有宇主编,伍时和、凌玲副主编,清华大学出版社2 电子技术基础课程设计 ,孙梅生等编著,高

20、等教育出版社3 电工学 (第六版) ,姚金生 、郑小利等编著,高等教育出版社4 元器件 (修订版) ,秦曾煌编著,电子工业出版社5 新型集成电路的应用-电子技术基础课程设计 ,梁宗善主编,华中科技大学出版社武汉理工大学模拟电子技术基础课程设计- 20 -本科生课程设计成绩评定表本科生课程设计成绩评定表姓姓 名名殷翔殷翔性别性别 男男专业、班级专业、班级通信工程通信工程 0806 班班课程设计题目:课程设计题目: 心电图仪设计与制作心电图仪设计与制作课程设计答辩或质疑记录:课程设计答辩或质疑记录:1.电路各级工作原理2.前置放大怎么保证输入电阻以及共模抑制比3.滤波部分的作用以及通频带4.OP07 简要特点成绩评定依据:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定)最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字:指导教师签字: 2010 年年 月月 日日

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!