RS触发器的设计

上传人:豆** 文档编号:87366518 上传时间:2022-05-09 格式:DOC 页数:11 大小:179KB
收藏 版权申诉 举报 下载
RS触发器的设计_第1页
第1页 / 共11页
RS触发器的设计_第2页
第2页 / 共11页
RS触发器的设计_第3页
第3页 / 共11页
资源描述:

《RS触发器的设计》由会员分享,可在线阅读,更多相关《RS触发器的设计(11页珍藏版)》请在装配图网上搜索。

1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流RS触发器的设计.精品文档.课 程 设 计 报 告课程名称 数字逻辑课程设计 课 题 RS触发器的设计 专 业 计算机科学与技术 班 级 0902 学 号 200903010225 姓 名 田鹏 指导教师 刘洞波 邓作杰 陈多 2011年 01月 09日课程设计任务书课程名称 数字逻辑课程设计 课 题 RS触发器的设计 专业班级 计算机科学与技术 学生姓名 田鹏 学 号 200903010225 指导老师 刘洞波 邓作杰 陈多 审 批 刘洞波 任务书下达日期: 2011年 01月 09日任务完成日期: 2011年 01月 21日一、设计内容与

2、设计要求1设计内容:本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用 VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。题目一 4线-16线译码器电路设计;题目二 16选1选择器电路设计;

3、题目三 4位输入数据的一般数值比较器电路设计题目四 10线-4线优先编码器的设计题目五 8位全加器的设计题目六 RS触发器的设计;题目七 JK触发器的设计;题目八 D触发器的设计;题目九 十进制同步计数器的设计;题目十 T触发器的设计;每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。参考书目1EDA技术与VHDL程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-72010TP312VH/362VHDL 电路设计技术王道宪贺名臣刘伟国防工业出版社7-118-03352-92004TN702/623VHDL 实用技术潘松,王国栋7-810657-810

4、65-290-72000TP312VH/14VHDL 语言100 例详解北京理工大学ASIC研究所7-9006257-900625-02-X1999TP312VH/35VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9200073.9621/W38V6VHDL程序设计教程邢建平曾繁泰清华大学出版社7-302-11652-02005TP312VH/27/37VHDL电路设计雷伏容清华大学出版社7-302-14226-22006TN702/1852设计要求:l 课程设计报告规范课程设计报告应包含如下几个部分1) 功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路

5、图2) 详细设计按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。3) 调试分析以及设计体会a.仿真或程序下载调试(附界面截图)。b.设计过程中遇到的问题以及解决问题的方法。c.课程设计过程经验教训、心得体会。4) 书写格式见附带说明。5) 附录a.参考书目b.源程序清单(带注释)l 考核方式指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。具体考核标准包含以下几个部分:1) 平时出勤 (占10%)2) 系统需求分析

6、、功能设计、数据结构设计及程序总体结构合理与否(占10%)3) 程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)4) 设计报告(占30%)5) 注意:不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。6) 独立完成情况(占10%)。l 课程设计验收要求1) 运行所设计的系统。2) 回答有关问题。3) 提交课程设计报告纸质稿。4) 提交源程序、设计报告文档电子稿。5) 依内容的创新程度,完善程序情况及对程序讲解情况打分。二、进度安排上机时间:十九周周二 8:00-12:00十九周周三 8:00-12:00二十周周一 14:00-18:00附带说明:课程设计报告装订顺序:

7、封面、任务书、目录、正文、评分、附件(程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、详细设计;三、程序调试;四、总结;五、附件(所有程序的原代码,要求对程序写出必要的注释)。正文总字数要求在5000字以上(不含程序原代码)。目录1) 功能描述 72) 详细设计83) 调试分析以及设计体会144) 书写格式195) 附录19一、 功能描述1RS触发器有两个稳定状态,Qn为触发器的原状态,Qn+1为触发器的次态,R为置0端,S为置1端.2基本RS触发器具有置位、复位和保持(记忆)的功能; 3基本RS触发器的触

8、发信号是低电平有效,属于电平触发方式; 4基本RS触发器存在约束条件(R+S=1),由于两个与非门的延迟时间无法确定;当R=S=0时,将导致下一状态的不确定。 5当输入信号发生变化时,输出即刻就会发生相应的变化,即抗干扰性能较差。 同步RS 触发器(时钟脉冲控制的RS 触发器) 1)、真值表2)、函数表达式3)、逻辑电路图它由两个与非门的输入输出交叉连接而成,如下图:二、详细设计1、打开Quartus软件,建立一个新的工程: 1)单击菜单FileNew Project Wizard. 2) 输入工程的路径、工程名以及顶层实体名。 3)单击Next按钮,本实验没有包含已有文件,单击Next按钮。

9、 4)设置我们的器件信息。 5)单击Next 2、 建立VHDL文件: 1)单击FileNew菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件。 2)在编辑窗口输入VHDL源文件并保存实体名,文件名必须和保存的顶层实体名必须一致。 3)编译工程单击Processing/Start Compliation开始编译,编译过程可能出现若干错误信息,参考提示原因改正程序直到完全正确能够进行编译为止。 3、 建立矢量波形文件 1)单击File/New命令,在弹出的窗口中找到 other file中的Vactoe Waveform File项打开矢量波形窗口。 2)双击

10、窗口左边的空白区域,单击Edit/End Time设置时钟频率,单击Edit/Insert Node and Bus对话框。 3)单击Node Finder 按钮,打开以下对话框,选择Filter下列表中的Pins:all,并点击List按照以下列出的所有端口,通过按钮把这些端口 加入到右面的窗口中,单击OK完成端口的添加4)回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号的单击鼠标哟见的弹出式菜单中完成操作,最后保存次波形文件。 4、进行功能仿真 1)单击AssignmentsSettings.,在弹出对话框将Simiulation mode 设置为Fun

11、ctional,即功能仿真。指定仿真波形文件后单击OK完成设置。 2)单击ProcessingGenetate Functional Simulation Netlist以获得功能仿真网络表。 3)单击ProcessingStart Simulation进入仿真页面。 5、进入时序仿真 如果功能仿真无误,可以进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。1) 单击AssignmentsSettings,在弹出对话框中将Simulation mode设置为Timeing即时仿真。指定仿真波形文件后单击OK完成设置。2) 单击ProcessingStart Simulati

12、on进入到仿真界面。 6、器件的下载指定器件引脚:1) 单击AssignmentsAssingnments Plns 为每一个引脚赋值。2) 赋值后,重新编绎,形成编程文件。3) 编程器件通过电缆与电脑相连,进行下载到芯片。按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。1新建工程,工程管理:2.源文件输入-VHDL程序或原理图的设计,Alter内嵌模块的调用(对CPLD而言,只有MAXII还有内嵌模块可以调用)3.综合,编译-检查语法错误,连接错误,生成综合后网表:4.功能仿真-综合后的功能仿真,简单的管脚分配,I/O特性约束,简单

13、的时序约束。三、调试分析以及设计体会1)仿真1.准备网表文件及测试向量文件2.指定模拟终止时间:3.引入欲观察的结点:4.在结点查找器中寻找结点:5.编辑输入激励波形信号:6.执行模拟:2) 设计过程中遇到的问题以及解决问题的方法。在设计过程中,出现的问题有:1.对VHDL编程语言不熟及quartus II软件不熟,对编程下载到芯片感到陌生。经过老师的指教,及同学的帮助,渐渐地能够运用起来了2.那个源程序出了出现了三个错误及15个警告,从头来过后,发现是顶层文件名与编程名不同, 3) 课程设计过程经验教训、心得体会。 数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼

14、实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,在短短的一个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知

15、识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的辛勤指导下,终于游逆而解。同时,在刘老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到

16、,数字电路这门课程在科学发展中的至关重要性;查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到;相互讨论共同研究也是很重要的。 四、书写格式 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。五、附件参考书目1EDA技术与VHDL程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-72010TP312VH/362VHDL 电路设计技术王道宪贺名臣刘伟国防工业出版社7-118-03352-92004TN702/623VHDL 实用技术潘松,王国栋7-81

17、0657-81065-290-72000TP312VH/14VHDL 语言100 例详解北京理工大学ASIC研究所7-9006257-900625-02-X1999TP312VH/35VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9200073.9621/W38V6VHDL程序设计教程邢建平曾繁泰清华大学出版社7-302-11652-02005TP312VH/27/37VHDL电路设计雷伏容清华大学出版社7-302-14226-22006TN702/185源程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_L

18、OGIC_UNSIGNED.ALL;ENTITY RS_clk IS PORT( S,R,res :IN std_logic; Q,NOT_Q:out std_logic);END RS_clk;ARCHITECTURE behav OF RS_clk IS signal sel1,sel2: std_logic;BEGIN process(res,sel1,sel2) begin if res=0 then sel1=0; sel2=1; elsif (S=1 and R=0) then sel1=1; sel2=0; elsif (S=0 and R=1) then sel1=0; sel2=1; elsif (S=0 and R=0) then sel1=sel1; sel2=sel2; end if; Q=sel1;NOT_Q=sel2; end process; END behav;计算机与通信学院课程设计评分表课题名称: 项 目评 价设计方案的合理性与创造性设计与调试结果设计说明书的质量答辩陈述与回答问题情况课程设计周表现情况综合成绩 教师签名: 日 期:

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!