电子技术课程设计-加减法运算电路设计仿真及电路板的制作

上传人:na****u 文档编号:56734523 上传时间:2022-02-22 格式:DOC 页数:26 大小:902KB
收藏 版权申诉 举报 下载
电子技术课程设计-加减法运算电路设计仿真及电路板的制作_第1页
第1页 / 共26页
电子技术课程设计-加减法运算电路设计仿真及电路板的制作_第2页
第2页 / 共26页
电子技术课程设计-加减法运算电路设计仿真及电路板的制作_第3页
第3页 / 共26页
资源描述:

《电子技术课程设计-加减法运算电路设计仿真及电路板的制作》由会员分享,可在线阅读,更多相关《电子技术课程设计-加减法运算电路设计仿真及电路板的制作(26页珍藏版)》请在装配图网上搜索。

1、加减法运算电路设计仿真及电路板的制作目录摘要21 设计任务与要求31.1 设计任务3 1.2设计目的31.3技术指标31.4题目分析32设计方案52.1 设计方案原理52.2 运算方案53 电路设计73.1 加法电路的实现83.2 减法电路的实现93.3 译码显示电路103.4 总的电路图114 系统仿真134.1 Multisim134.2电路的调试134.3 仿真结果分析165 PCB的制作175.1 protel简介175.2 PCB设计过程及protel的封装186 收获与心得体会247附录25加减法运算电路设计仿真及电路板的制作摘 要:这次的课程设计的任务是设计一个具备加减乘运算功能

2、的电路,能够通过开关控制进行加减法计算和乘法计算,并利用LED灯显示计算结果。本次设计通过开关的闭合和开通来代表电平的高地位继而代表0和1来输入所要计算的十进制数字。加减运算电路主要由74LS283的级联电路组成。通过异或门将原码全部转换为补码,然后采用个位和个位相加,十位和十位的相加的原则,将两个的个位的代码送入到一个加法器中,将进位依次传递。但是加法器只可以做加法运算,不满足我们的设计要求,于是我们将减法也变成加法进行运算,运算都为补码,最后再将结果在转换为原码,通过LED灯显示出来。乘法运算电路主要由移位寄存器74LS194和加法器74LS283组成。显示电路主要由7段显示译码器构成,将

3、加减和乘法运算电路计算所得的运算结果每4为输入到一块7448芯片中,按高低位排列就得到了我们所需要的十进制的运算结果了。关键字:计算器;移位寄存器;74LS283;LED显示器全套设计加扣3012250582 1设计任务与要求1.1设计任务1.计算器具有加,减和乘的功能;2.用开关输入运算数据;3.用LED显示运算结果设计要求:4、提出至少两种设计实现方案,并优选方案进行设计。1.2 设计目的1、综合运用相关课程中所学到的知识去完成设计课题。2、熟悉常用芯片和电子器件的类型及特性,掌握合理选用器件的原则。3、学会电路的设计与仿真。4、通过查阅手册和相关文献资料,培养学生独立分析和解决问题的能力

4、。5、培养严肃认真的工作作风和严谨的科学态度。1.3 技术指标1.分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。2.确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。3.设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。1.4 题目分析 提起计算器,值得我们骄傲的是最早的计算工具诞生在中国。中国古代最早采

5、用的一种计算工具叫筹策,又叫做算筹,这种算筹多用竹子制成,也有用木头、兽骨充当材料的,约270,枚一束,放在布袋里克随身携带。17世纪初,西方国家的计算工具有了较大的发展,英国数学家纳皮尔发明的“纳皮尔算筹”,英国牧师奥却德法发明了圆柱形对数计算尺,这种计算尺不仅能做加减乘除、乘方、开方运算,甚至可以计算三角函数、指数函数和对数函数,这些计算工具不仅带动了计算机的发展,也为现代计算器的发展奠定了良好的基础,计算器已经成为现代社会应用广泛的计算工具。计算器一般由运算器、控制器、存储器、键盘、显示器、电源和一些可选外围设备及电子配件通过人工或机器设备组成。低档计算器的运算器、控制器由数字逻辑电路实

6、现简单的串行运算,其随机存储器只有一、二个单元,供累加存储用。高档计算器由微处理器和只读存储器实现各种复杂的运算程序,有较多的随机存储单元以存放输入程序和数据。键盘是计算器的输入部件,一般采用接触式或传感式。为减小计算器的尺寸,一键常常有多种功能。显示器是计算器的输出部件,有发光二极管显示器或液晶显示器等。除显示计算结果外,还常有溢出指示、错误指示等。计算器电源采用交流转换器或电池,电池可用交流转换器或太阳能转换器再充电。为节省电能,计算器都采用CMOS工艺制作的大规模集成电路,并在内部装有定时不操作自动断电电路。随着社会需求,计算器也从原有单一的数字加减计算演变为复杂的多功能运算。现在不在单

7、一的在某一方面而是涉及到生活的方方面面。2设计方案2.1 设计电路原理图2-1加减运算原理框图如图2-1所示第一步 置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。第二步 通过开关选择加(减)运算方式;第三步 若选择加运算方式所置数送入加法运算电路进行运算;同理若选择减运算方式,则所置数送入减法运算电路运算;第四步 前面所得结果通过另外两个七段译码器显示。即:若选择加法运算方式,则(1000)2+(0110)2=(1110)2 十进制8+6=14并在七段译码显示器上显示14。若选择减法运算方

8、式,则(0101)2-(1000)2=(10011)2十进制5-8= -3并在七段译码显示器上显示-3。2.2 运算方案2.2.1方案一通过开关J1J8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4A1端,74LS283的B4B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5J8,通过开关J5J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1异或的结果为B非

9、 ,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示09,所以当A+B9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S9(1001)2时加上3(0011)2,产生的进位信号送入译码器U15来显示结果的十位,U14显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U14即可显示结果。方案二由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算

10、可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。2.2.2两种方案比较通过对两种方案的比较,为实现设计要求,首先在不计入数码管所需芯片的情况下,方案二一共需

11、要十二个芯片,电路的连接相当复杂,产生接线错误和导线接触不良的几率大大增加,而且耗费较高;而方案一一共需要七或九个芯片,且其中几个芯片只用到一两个门,相对接线较简单,容易实现。其次,方案二采用串行进位和借位的方式来实现四位逻辑加减运算,任意一位的逻辑运算必须在前一位的运算完成之后才能进行,相较而言运算速度不高;而方案一采用的是超前进位的方式来实现四位逻辑运算的,每位的进位只有加数和被加数决定,而与低位的进位无关,它的运算速度较方案二高出很多。综上所述,方案一较方案二更加优秀,不仅电路简单而且运算速度更快,经综合小组各设计方案,被选为小组共同方案。3 电路设计3.1加法电路的实现用两片4位全加器

12、74LS183和门电路设计一位8421BCD码加法器。由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示,所以设计的时候有如下的真值表: 表3.1 74LS183真值表0000000无需转换00001010001002000110300100040010105001100600111070100008010010901010110需要转换010111110110011201101113011101140111111510000016100010171001001810011019无关项1010002010101021101100

13、22101110231100002411001025110101261101112711100128无关项111011291111013011111131由前16项得:由后10项得由得 Y=CO+S3S2+S3S1由于用与非门比较方便所以我们选用了与非门电路有以下两种选择:(1)(2)但由于(1)方式简单所以我们选用了(1)1. 通过开关B1B4、A1A4接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U12和U15分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4A1端,74LS283的B4B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关

14、J9上,另一输入端分别接开关J5J8,通过开关J5J8控制数B的输入。2.当开关J9接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。3.由于译码显示器只能显示09,所以当A+B9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。3.2 减法电路的实现该电路功能为计算A-B。若n位二进制原码为N原,则与它相对应的补码为N补=2n-N原,补码与反码的关系式为N补=N反+1,A- B=A+B补-2n=A+B反+1-2n 图

15、3.2 减法电路因为B1= B非,B0=B,所以通过异或门74LS86对输入的数B求其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为:A+B反+1由于2n=24=(10000)2,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。3.3译码显示电路译码显示电路是由一个七段LED译码驱动器74HC4511和一个七段LED数码显示器组成。在74HC4511中,经前面运算电路运算所得的结果输

16、入74HC4511的D3D2D1D0,再译码输出,最后在七段LED显示器中显示出来 3.4总的电路图译码显示电路译码电路的实现是采用74HC4511BCD码驱动器,驱动共阴极LED数码管。74HC4511内接有上拉电阻,故只需在输出端与数码管之间串入限流电阻既可工作。译码器还有拒绝伪码的功能,当输入超过1001时,输出全为0。A0、A1、A2、A3为BCD码输入端;a、b、c、d、e、f、g为译码输出端,输出1有效,用来驱动共阴极LED数码管;LT为测试输入端,LT=0时,译码输出全为1;BI为消隐输入端,BI=0时,译码输出全为0,数码管熄灭;LE为锁定端,LE=1时译码器处于锁定(保持)状

17、态,译码输出保持在LE=0时的数值,LE=0为正常译码。在数字实验板上已完成了译码器和数码管之间的连接,四位数码管可接受四组BCD码输入。表 3.4总电路图 4系统仿真4.1电路仿真软件的介绍Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim随着计算机技术飞速发展,电路设计可以通过计算机辅助分析和仿真技术来完成。计算机仿真在教学中的应用,代替了大包大揽的试验电路,大大减轻验证阶段的工作量;其强大的实时交互性、信息的集成性和生

18、动直观性,为电子专业教学创设了良好的平台,极大地激发了学生的学习兴趣,能够突出教学重点、突破教学难点;并能保存仿真中产生的各种数据,为整机检测提供参考数据,还可保存大量的单元电路、元器件的模型参数。采用仿真软件能满足整个设计及验证过程的自动化。 Multisim软件是一个专门用于电子线路仿真与设计的 EDA 工具软件。作为 Windows 下运行的个人桌面电子设计工具, Multisim 是一个完整的集成化设计环境。Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。我们可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术

19、创造出真正属于自己的仪表。Multisim极大地提高了我们的学习热情和积极性,真正的做到了变被动学习为主动学习这些在课设活动中已经得到了很好的体现。因而学习Multisim,除了可以提高仿真能力、综合能力和设计能力外,还可进一步提高实践能力。初步掌握一种电子电路计算机辅助分析和设计软件对学习模拟电子技术基础课很有必要。鉴于Multisim上述特点,本课设选用Multisim11.0作为基本工具,力图使我们从中学习电子电路的仿真方法和测试方法。4.2电路的调试通过学习Multisim软件和对前面加减法运算电路的讨论,研究我们小组总结出一套简单方便又可达到要求的方案,并设计出以下电路图: 图 4.

20、1 未输入任何数 图 4.2 加法结果产生进位输入 图 4.3 加法未产生进位输入 图 4.4 减法的结果为正数 图 4.4 减法的结果为负数4.3仿真分析本设计的电路能完成二位以下的任意数字的加减和乘法运算,并能在数码显示管上显示出输入的数和计算的结果,但是在减运算时,本电路运用了反码和补码,这样结果才能输出原码。如果输入的被减数小于减数,则电路输出的结果将是结果的补码。在电路图设计的时候在网上我查到了一个方案,但是在看了那个方案后我觉得他虽然能实现加法和减法的运算,但是当是小数减大数的时候结果将出现补码,而并不是原码。而且不能表示最高位和符号位。于是我开始自己设计这个电路,使加法和减法电路

21、能很好地融合在一起。网上的设计方案解决了加减法输入的切换。我设计的重点就在加减法输出的整合上。使电路即能解决减法计算时出现的补码问题,又能在加法时显示进位,减法时显示符号位,并且共用输出端。利用真值表我顺利的完成了设计的目标,理论知识第一次有了成功的运用。5系统的调试组装5.1 Prote简介在PCB部分,除了Protel2004中的多通道复制;实时的、阻抗控制布线功能;SitusTM自动布线器等新功能以外,AltiumDesigner6.0还着重在:差分对布线,FPGA器件差分对管脚的动态分配,PCB和FPGA之间的全面集成,从而实现了自动引脚优化和非凡的布线效果。还有PCB文件切片,PCB

22、多个器件集体操作,在PCB文件中支持多国语言(中文、英文、德文、法文、日文),任意字体和大小的汉字字符输入,光标跟随在线信息显示功能,光标点可选器件列表,复杂BGA器件的多层自动扇出,提供了对高密度封装(如BGA)的交互布线功能,总线布线功能,器件精确移动,快速铺铜等功能。交互式编辑、出错查询、布线和可视化功能,从而能更快地实现电路板布局,支持高速电路设计,具有成熟的布线后信号完整性分析工具.AltiumDesigner6.0对差分信号提供系统范围内的支持,可对高速内连的差分信号对进行充分定义、管理和交互式布线。支持包括对在FPGA项目内部定义的LVDS信号的物理设计进行自动映射。LVDS是差

23、分信号最通用的标准,广泛应用于可编程器件。AltiumDesigner可充分利用当今FPGA器件上的扩展I/O管脚。其次,在原理图部分,新增加“灵巧粘帖”可以将一些不同的对象拷贝到原理图当中,比如一些网络标号,一页图纸的BOM表,都可以拷贝粘帖到原理图当中。原理图文件切片,多个器件集体操作,文本筐的直接编辑,箭头的添加,器件精确移动,总线走线,自动网标选择等!强大的前端将多层次、多通道的原理图输入、VHDL开发和功能仿真、布线前后的信号完整性分析功能。在信号仿真部分,提供完善的混合信号仿真,在对XSPICE标准的支持之外,还支持对Pspice模型和电路的仿真。对FPGA设计提供了丰富的IP内核

24、,包括各种处理器、存储器、外设、接口、以及虚拟仪器。第三在嵌入式设计部分,增强了JTAG器件的实时显示功能,增强型基于FPGA的逻辑分析仪,可以支持32位或64位的信号输入。除了现有的多种处理器内核外,还增强了对更多的32位微处理器的支持,可以使嵌入式软件设计在软处理器,FPGA内部嵌入的硬处理器,分立处理器之间无缝的迁移。使用了Wishbone开放总线连接器允许在FPGA上实现的逻辑模块可以透明的连接到各种处理器上。5.2 PCB设计过程及protel的封装1.先做protel原理图的封装库 图 5.1 封装库2 做好封装库后,利用自己建的封装库来画原理图 图 5.2原理图3 然后进行电气E

25、RC的检查来查看错误 图 5.3 ERC表4 无错后可以生成一个网格表 图 5.4 网格表5 由于某些元件在本身的PCB元件库里找不到,所以我做了自己所需要的PCB的封装库 图 5.5 PCB封装库6 建立PCB文件然后将建立好的网络载入进来并且检查无错 图 5.6 载入网格表7 原理图所有的元件被导入到PCB文件中 图 5.7导入到PCB中的元件8 对到到PCB里的元件进行布局 图 5.8 进行布局9布局完成后可以查看3D图 图 5.9 3D图 10再进行自动布线可以得到PCB图,如图5-10的a,b 图 a 背景为白 图 b 背景为黑图 5-10 最终的PCB图 6 收获与心得体会通过这次

26、课程设计,加强了我的动手、思考和解决问题的能力。在整个设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。尤其学习软件Multisim,除了可以提高仿真能力、综合能力和设计能力外,还可进一步提高实践能力。初步掌握一种电子电路计算机辅助分析和设计软件对学习模拟电子技术基础课很有必要。数

27、电与模电是我们大二时候所学的两门专业基础课,这两门可是现代我们常见的各种电气化与智能化的理论基础,也是我们每一个学工科的学生所应该扎实学习的一门课。经过两个星期的努力,过程曲折可谓一言难尽。在此期间我也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不

28、上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋; 正所谓“人各有志”。我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生旅途上一个非常美好的回忆!这次课程设计终于顺利完成了,在设计中

29、遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!7 附录附录1 器件清单 元件名称元件数量元件封装号74LS2831个DIP1674F862个DIP1474F271个DIP1474F081个DIP1474F041个DIP14LED数码管5个SS开关11个KG附录二1、加法电路器件可用的加法运算器件为超前进位加法器74LS283或者4008来实现,还需用到2输入与门74LS08,3输入或门。图7-1 74LS283和4008的引脚图图7-2 74LS08的引脚

30、图74LS86=1=1=1=12、减法电路器件图7-3 74LS86的引脚图由于没有直接做减法运算的器件,所以用补码来完成减法运算,仍要用到74LS283或者4008,只需在加法器前加上4个异或门(一片74LS86)即可。3、74ls04六反相器图7-4 74LS08的引脚图4、LED数码管 图7-5 LED的引脚图 参考书目1杨颂华、冯毛官、孙万蓉、初秀琴、胡力山,数字电子技术基础(第二版),西安电子科技大学出版社,2009年2月。2江捷,马志诚,数字电子技术基础,北京,北京工业大学出版社,2009,10,01。3阎石,数字电子技术基础(第五版),高等教育出版社,2006年。4邓元庆,加鹏,数字电路与系统设计,西安电子科技大学出版社,2003年。5王义军,数字电子技术/电气工程及其自动化,中国电力出版社,2006,01。6黄智伟,李传琦,邹其洪,基于Multisim 2001 的电子电路计算机仿真设计与分析,电子工业出版社, 2004年7月。 26

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!