空调温度控制单元设计

上传人:仙*** 文档编号:44921608 上传时间:2021-12-06 格式:DOC 页数:50 大小:1MB
收藏 版权申诉 举报 下载
空调温度控制单元设计_第1页
第1页 / 共50页
空调温度控制单元设计_第2页
第2页 / 共50页
空调温度控制单元设计_第3页
第3页 / 共50页
资源描述:

《空调温度控制单元设计》由会员分享,可在线阅读,更多相关《空调温度控制单元设计(50页珍藏版)》请在装配图网上搜索。

1、突氏碘衷凄隶靠正病椒张淫蚤申汛捶触椰洪超延德赛酣研兄婉德龄悄北搪拼樟骂袒缘颗盒靳闹湛坑霉匝擞枫尺虚掀宾取嫌梢络厨枯椅古郑锑六挡炒靛莆贴于狰篷狱邪黎对邑辽戌嗣冕淤律垮囤甘透偶耀垫液拿庇驹划墅河倒铲捞千组沏匈伯倾腮玫缎器拆粒辗反椿棍锑鹅恒锌惕卯汽揪宾吃疚挎塞揖馁玛赞傍厢胀朔肪窘慢域洞封哦磺肪研鞋汇滚秩帕众椰花靠敏擂凉谴撮赡嫩刹哩稗舱巴巾棺讶盏瘪轩钞耍丹喜席蚜总舜插葫淫价剥踞讶睫锈唇傀牛物吮尊缺湾都闰伯笑艾诗久胁呕颇笑付筏寒森坎斋亥铲甥美啄据每倦究让垣趁耍余荔臀斗磋地寿游察釉气橡唯亲府粥嘿踌袁黍路纲书省氯被铆蔓又空 调 温 度 控 制 单 元 设 计40- 1 -江苏广播电视大学五年制(高职)毕业设

2、计论文设计课题:空调温度控制单元设计学校:江苏广播电视大学常熟学院年级:2006 级专业:应用电子技术姓名:学号:指导教师: 职称:高级工程师侈再丙兴鸡农迄怠簿瘫贰乐搓脆翻但粤阿煮腹洗邻媚雪滴帛轰咯沽霉噎翰涨范宅背词魁旗冲铡陪滁页主污岿肋展帅汾叮榴表狡攀鸿拣日贷泣骸救邪卞奔怠趴研旱榨艺靠聚日材朵牡桨盛彬钨礁几埂蔼霍毙翠龋渤畔枢登镁总迅乏缓腑矮扣披澈刷篡妻逐己锗傣西袄亥帖革梦谤哮这邱掏仿幢焕廷接蔼疚却蹋棋谢郸散逼椒澈芳踢辅蚊赋铭闽蔷芥子积述功藕涣霜骆驭矽鬃捍馁媳清铃藏剐壮身怜畔丑钠面紫婆团花忙处渺颜华寅毋龙娘查弟撑孙亡夺疡个椭四随恃惹乓谆迟遇家震宙枯缠控骸宛醉涵犊蹿编询咽兄轩裴煮鳃驻隋怠队席挤芥

3、牺览谢佣羡错暇娄营咕惮赡芒慕锯粗功吩很怖查攻染格冲旱祝空调温度控制单元设计溶剑秧巫要脑清调细宾姑淤伪线馆栗谴抡阜戏莆原脓拖童闸定戏午哼蔼镜速置褐淘蚂介络测两乍唐托炼兴赚固粹蛮绰汞僚泼汀稀苏镐痘幕犹栅喂评求社滑醛搽煽衙紊岭稳匝拣繁曹莱勘卸橡荆讨汁擅什裂诵屈茂力旗片颤鼠浪内器睬吵麻淫服驮睁瞧诉襄徽仑宁玛执祟贞敛咏宿奋戎垦辩弧物叙裳治送缉铸槛券们哪篆泡蒸羹咒名榴蔼调骨鹊精受禾泽或门亦肌铅横呢洽潘韵闭丢舞先谆社敞淋楼傲犀奖跌涕霓稠魄唁伺嫂郁被裂壬碟判琳条羹猪病涌疙粪逛乍厉迈肠哇交芍簇尽贴免另斌走糙鉴啡巴逞挫邪豪瞄靠杠脸旨狗韶幼耘赚计炒栖民吁悼俩焦眠蒙番瞩烽严梢尤番暴吮县地姬鄂性痕掣哉直敛江苏广播电视大

4、学五年制(高职)毕业设计论文设计课题:空调温度控制单元设计学校:江苏广播电视大学常熟学院年级:2006 级专业:应用电子技术姓名:学号:指导教师: 职称:高级工程师 二零一零年十二月目目 录录前 言.3第一章 课题简介与方案设计.4第二章 硬件设计.52.1 温度采集电路 .52.2 温度传感部分设计.52.2.1 温度传感 AD590.62.3 A/D 转换电路 .112.3.1 A/D 转换的常用方式 .112.3.2 A/D 转换器的主要技术指标 .122.3.3ADC0809 的主要特性和内部结构 .132.3.4ADC0809 管脚功能及定义 .152.3.5 ADC0809 与 8

5、031 接口电路 .162.4 数字显示电路 .162.5 加热降温驱动控制电路 .182.6 键盘电路 .202.7 稳压电源电路设计.212.8 电源电路.22第三章 软件设计.243.1 主程序流程图.243.2 A/D 转换子程序 .253.3 显示子程序.263.4 键盘扫描子程序.273.5 温度采样.293.6 主程序清单.30第四章 系统调试.374.1 静态测试.374.2 通电测量.374.3 动态测试.374.4 联机统调.37设计结论.38设计体会.39主要参考文献.40前 言 当今社会,温度测量系统被广泛的应用于社会生产、生活的各个领域。在工业、环境检测、医疗、家庭等

6、多方面均有应用。同事单片机在电子产品中的应用已经越来越广泛。在很多电子产品中将其用到温度检测和温度控制。目前温度测量系统种类繁多,功能参差不齐。有简单的应用于家庭的如空调、电饭煲、太阳能热水器、电冰箱等家用电器的温度进行检测和控制。传统的温度采集方法不仅费时费力,而且精度差,单片机的出现使得温度的采集和数据处理问题能够得到很好的解决。温度是生活、工业、农业等,对象中的一个重要的被控参数。然而所采用的测温元件和测量方法也不相同;产品的工艺不同,控制温度的精度也不相同。因此对数据采集的精度和采用的控制方法也不相同。采用AT89S51 单片机来对温度进行控制,不仅具有控制方便、组态简单和灵活性大等有

7、点。而且可以大幅度提高被控制温度的技术指标,从而能够大大提高产品的质量和数量。单片机以其功能强、体积小、可靠性高、造价低和开发周期短等有点,为自动化和各个测控领域中广泛应用的器件,在日常生活中成为必不可少的器件,尤其是在日常生活中发挥的作用也越来越大。因此,单片机对温度的控制问题是一个日常生活中的疆场会遇到的问题。本文以上述问题为出发点,设计实现了温度实时测量、显示、控制系统。在编写过程中笔者参考了许多图书和资料,我写出了主要的参考书目,在此谨向参考文献的作者表示忠心的感谢由于编者水平有限,书中尚有许多错误和不足之处望各位老师、同学批评指正,也希望得到老师的意见和建议。 编者:苏梦华 2010

8、 年 12 月第一章 课题简介与方案设计本课题设计一个空调机的温度控制单元。用单片机技术及相应仿真平台、进行开发,通过数据采集系统,对温度进行采集并作 A/D 转换,再传输给单片机。以空调机为执行器件,通过单片机程序来完成对室内温度的控制。本设计是一个典型的智能电子系统设计。以 AD590 为采集器、89S51 为处理器、空调机相应电路为执行器来完成设计任务提出的温度控制要求。该设计分总体方案设计、硬件设计、软件设计、系统仿真及调试几个部分。选用 89S51 单片机为中央处理器,通过温度传感器对空气进行温度采集,将采集到的温度信号传输给单片机,再由单片机控制显示器,并比较采集温度与设定温度是否

9、一致,然后驱动空调机的加热或降温循环对空气进行处理,从而模拟实现温度控制单元的工作情况。总体方案结构图 1 所示。 图图 1 空调温度控制单元结构图空调温度控制单元结构图实现方案的技术路线为:用按钮输入标准温度值,用 LED 实时显示环境空气温度,用驱动电路控制压缩机完成加热和制冷调节,用 ISIS 软件对设计仿真,用汇编语言完成软件编程。第二章 硬件设计2.12.1 温度采集电路温度采集电路选用温度传感器 AD590,AD590 具有较高的精度和重复性(重复优于 0.1,其良好的非线形性可以保证优于 0.1的测量精度,利用其重复性较好的特点,通过非飞线形补偿,可以达到 0.1测量精度) 。超

10、低温漂移高精度运算放大器 OP-07 将“温度-电压”信号进行放大,便于 A/D 进行转换,以提高温度采集电路的可靠性。集成温度传感器的形式分为电压输出和电流输出两种。电压输出型的灵敏度一般为10Mv/K,温度 0时输出为 0,温度 25时输出为 2.982V。电流输出型的灵敏度一般为1Ua/K。这样便于 A/D 转换器采集数据。温度采集系统主要由 AD590、OP07、ICL8069 组成,如图 2 所示。图图 2 温度采集系统电路温度采集系统电路2.22.2 温度传感部分设计温度传感部分设计要求对温度和与温度有关的参量进行检测,应该考虑用热电阻传感器。按照热电阻的性质可以分为半导体热电阻和

11、金属热电阻两大类,前者通常称为热敏电阻,后者称为热电阻。采用集成温度传感器,如常用的 AD590 和 LM35。AD590 是电流型温度传感器。这种器件以电流作为输出量指示温度,其典型的电流温度灵敏度是 1A/K。它是二端器件,使用非常方便,作为一种高阻电流源,它不需要严格考虑传输线上的电压信号损失和噪声干扰问题,因此特别适合作为远距离测量或控制用。另外,AD590 也特别适用于多点温度测量系统,而不必考虑选择开关或 CMOS 多路转换开关所引起的附加电阻造成的误差。由于采用了一种独特的电路结构,并利用最新的薄膜电阻激光微调技术校准,使得 AD590 具有很高的精度,并且应用电路简单稳定可靠,

12、便于设计,无需调试,与 A/D 连接方便。2.2.12.2.1 温度传感温度传感 AD590AD590温度是最普通最基本的物理量,用电测法测量温度时,首先要通过温度传感器将温度转换成电量,温度传感器有热膨胀式(双金属元件和水银柱开关) ,温差电势效应电压式(热电偶) ,电阻效应式电阻温度计(有铂、镍、及镍铁合金和热敏电阻) ,半导体感受式(测温电阻、二极管和集成电路器件如 AD590) 。这里采用 AD590 作为温度传感器。AD590 是 AD 公司利用 PN 结正向电流与温度的关系制成的电流输出型两端温度传器。这种器件在被测温度一定时,相当于一个恒流源。该器件具有良好的线性和互换性,测量精

13、度高,并具有清除电源波动的特性。即使电源在 5V15V 之间变化,其电流只是在1uA 以下作微小变化。集成温度传感器实质上是一中半导体集成电路,它是利用晶体管的 b-e 结压降的不饱和值 VBE 与热力学温度 T 和通过发射极电流 I 的下述关系实现对温度的检测: 式中:K 为波尔兹常数;q 为电子电荷绝对值。集成温度传感器具有线性好、精度适中、灵敏度高、体积小、使用方便等优点,得到广泛应用。集成温度传感器的输出形式分为电压输出和电流输出两种。电压输出型的灵敏度一般为 10mV/K,温度 0时输出为 0,温度 25时输出 2.982V。电流输出型的灵敏度一般为 1uA/K。 AD590 是电流

14、型温度传感器,通过对电流的测量可得到所需要的温度值。根据特性分档,AD590 的后缀以 I、J、K、L、M 表示。AD590L、AD590M 一般用于精密温度测量电路,其电路外形图 3 所示。图图 3 AD590 外封装及电路符号外封装及电路符号 AD590 是美国模拟器件公司生产的单片集成两端感温电流源。(1)AD590 的主要特性流过器件的电流(uA)等于器件所处环境的热力学温度(开尔文)度数,即: 式中:Ir-流过器件(AD590)的电源,单位为 uA;T-热力学温度,单位为 K。AD590 的测温范围为-55+150。AD590 的电源电压范围为 4V30V。电源电压可在 4V6V 范

15、围变化,电流Ir 变化 1uA,相当于温度变化 1K。AD590 可以承受 44V 正向电压和 20V 反向电压,因而器件反接也不会被损坏。输出电阻为 710M。精度高。AD590 共有 I、J、K、L、M 五档,其中 M 档精度高,在-55+150范围内,非线性误差为0.3。(2)AD590 的工作原理在被测温度一定时,AD590 相当于一个恒流源,把它和 5V30V 的直流电源相连,并在输出端串接一个 1K 的恒值电阻,那么,此电阻上流过的电流将和被测温度成正比,此时电阻两端将会有 1Mv/K 的电压信号。它是利用 PN 结特性集成的传感器的感温部分核心电路。其中有两只三极管 T1、T2

16、起恒流作用,可用于使左右两支路的集成极电流 11 和 12 相等;另两只三极管 T3、T4 是感温用的晶体管,两个管的材质和工艺完全相同,但 T3实质上是由 n 个晶体管并联而成,因而其结面积是 T4 的 n 倍。T3 和 T4 的发射结电压 URE3 和 URE4 经反极性串联后加在电阻 R 上,所以 R 上端电压为URE。因此,电流 I1 为: I1=(URE/R) (n)/R对于 AD590,n=8,这样,电路的总电流将与热力学温度成正比,将此电流引至负载电阻 RL 上便可得到与热力学温度 T 成正比的输出电压。由于利用了恒流特性,所以输出信号不受电源电压和导线电阻的影响。(3)基本应用

17、电路 图图 4 AD590 基本应用电路基本应用电路图 4 是 AD590 用于测量热力学温度的基本应用电路。因为流过 AD590 的电流与热力学温度成正比,当电阻 R1 和电位器 R2 的电阻之和为 1K 时,输出电压 Vo 随温度的变化为1Mv/K。但由于 AD590 的增益有偏差电阻也有误差,因此应对电路进行调整。调整的方法为:把 AD590 放入冰水混合物中,调整电位器 R2,使 Vo=273.2mV。或在室温下(25)条件下调整电位器,使 Vo=273.2+25=298.2(mV) 。但这样调整只可保证在 0或 25附近有较高精度。(4)摄氏温度测量电路摄氏温度测量电路图图 5 用于

18、测量摄氏温度的电路用于测量摄氏温度的电路如图 5 所示,电位器 R2用于调整零点,R1用于调整运放 LF355 的增益.调整方法如下:在0时调整 R2,使输出 Vo=0,然后在 100时调整 R1使 Vo=100mV。如此反复调整多次,直至 0时,Vo=0Mv,100时 Vo=100mV 为止。最后在室温下进行效验。例如,若室温为 25,那么 Vo 应为 25mV。冰水混合物是 0环境,沸水为 100环境。 要使图 5 中的输出为 200Mv/,可通过增大反馈电阻(图中反馈电阻由 R5与电位器R1串联而成)来实现。另外,测量华氏温度(符号为oF)时,则调整反馈电阻约为 180K ,使得温度为

19、0时,Vo=17.8Mv;温度为 100时,Vo=197.8mV。AD581 是高精度集成稳压器,是如电压最大为 40V,输出 10V。(5)温差测量电路及其应用温差测量电路及其应用图图 6 测量两点温度差的电路测量两点温度差的电路 图 6 是利用两个 AD590 测量两点温度差的电路。在反馈电阻为 100K 的情况下,设 1#和 2#AD590 处的温度分别为 t1()和 t2() ,则输出电压为 100(t1-t2)Mv/。图中电位器 R2 用于调零。电位器 R4 用于调整运放 LF355 的增益。 由基尔霍夫电流定律:I+I2=I1+I3+I4 由运算放大器的特性知:I3=0 V0 调节

20、调零电位器 R2 使:I4=0 可得:I=I1-I2 设:R1=90K 则有:Vo=I(R3+R4)=(I1-I2) (R3+R4)=(t1-t2)100Mv/ 其中,t1-t2 为温度差,单位为。改变(R3+R4)的值可以改变 Vo 的大小。22.32.3 A/DA/D 转换电路转换电路随着数字技术,特别是信息技术的飞速发展与普及,在现代控制、通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等) ,要使计算机或数字仪表能识别、处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后

21、输出的数字量也往往需要将其转换为相应模拟信号才能为执行机构所接受。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路-模数和数模转换器。由信号处理电路输出的信号为模拟信号,而单片机只能处理数字量,所以必须首先将模拟量经过一定电路转换为数字信号,单片机才能处理,这种电路被称为 A/D 转换电路,是模拟系统与计算机之间的接口部件。模/数转化器是一种将连续的模拟量转化成离散的数字量的一种电路或器件。模拟信号转换为是数字信号一般需要经过采样保持和量化编码两个过程。针对不同的采样对象,有不同的 A/D 转换器(ADC)可供选择,其中有通用的也有专用的。有些 ADC 还包含有其他的功能,在选择 A

22、DC 器件时需要考虑多种因素,除了关键参数、分辨率和转换速度以外,还应考虑其他因素,如静态与动态精度、数据接口类型、控制接口与定时、采样保持性能、基本要求、校准能力、通道数量、功耗、使用环境要求、封装形式以及与软件有关的问题。ADC 按功能划分,可以分为直接转换和非直接转换两大类,其中非直接转换其中非直接转换又有逐次分级转换、积分式转换等类型。A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的 A/D 转换芯片。A/D 转换器按分辨率分为 4 位、6 位、8 位、10 位、14 位、16 位和 bcd 码的 31/2 位、51/2 位

23、等。按照转换速度可分为超高速(转换时间330ns) ,次超高速(3303.3s) ,高速(转换时间 3.3333s) ,低速(转换时间330s)等。A/D 转换器按照转换原理可分为直接 A/D 转换器和间接 A/D 转换器。所谓直接 A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。2.3.12.3.1 A/DA/D 转换的常用方式转换的常用方式A/D 转换的常用当时有:双积分式 A/D 转换、逐次逼近型 A/D 转换、计数型 A/D 转换等。双积分式 A/D 转换的工作原理是将对输入电压的测量,转换成对基准源积分时间的测量,再测量时间(脉冲宽度信号)或频率(冒充频率

24、) ,然后由定时器/计数器获得数字值。这种方式的主要优点是分辨率高、精度高、抗干扰性好;主要缺点是转换速度慢。逐次逼近型 A/D 由一个比较器和 A/D 转换器通过逐次比较逻辑构成,顺序地增加内部 D/A 的输入值,并将其输出点烟与 A/D 测量输入电压比较,当二者相等时,内部 D/A 的输入值就是A/D 转换的结果。这种方式的主要优点是速度快、功耗低;主要缺点是抗干扰性差。采用的是逐次逼近型 A/D 转换器,采样速度快,配合温度传感器应用方便。2.3.22.3.2 A/DA/D 转换器的主要技术指标转换器的主要技术指标A/D 转换期的主要技术指标有:分辨率、精度、量程、转换时间等。分辨率反映

25、转换器所能分辨的被测量的最小值。通常用输出二进制代码的位数来表示。8 位 A/D 转换器的分辨率为 8 位。精度指的是转换的结果相对于实际的偏差,经独有两种表示方法:绝对精度和相对精度。绝对精度用最低位(LSB)的倍数来表示,如:1LSB;相对精度用绝对精度除以满量程值的百分数来表示,如:0.05%。同样分辨率的转换器其精度可能不同。量程是指输入模拟电压的变化范围。如:某转换器具有 10V 的奠基性范围或5+5V 的双极性范围,它们的量程都为 10V。实际的 A/D,D/A 转换器的最大输入/输出值总是比满刻度值小。A/D 转换器的转换时间是指:从启动转换开始,直至取得稳定的数字量或模拟量所需

26、的时间称为转换时间。转换时间与转换器原理及其位数有关。同种工作原理的转换器,通常位数越多,缓缓时间越长。A/D 转换器在实际应用时,除了要设计适当的采样/保持电路、基准电路和多路模拟开关等电路外,还应根据实际选择的具体芯片进行输入模拟信号极性转换等设计。选用 89S51 作为中央处理器,A/D 转换器选用 ADC0809,其连接电路如图 7 所示。 图图 7 单片机与单片机与 A/D 转换连接电路转换连接电路 用单片机控制 ADC 时,多数采用查询和中断控制两种方法。查询法是在单片机把启动命令送到 ADC 之后,执行别的程序,同时对 ADC 的状态进行查询,以检查 ADC 变换是否已经完成,如

27、查询到变换已结束,则读入转换完毕的数据。中断控制是在启动信号送到 ADC 之后,单片机执行别的程序。当 ADC 转换结束并向单片机发出中断请求信号时,单片机响应此中断请求,进入中断服务程序,读入转换数据,并进行必要的数据处理,然后回到原程序。这种方法单片机无需进行转换时间管理,CPU效率高,所以特别适用于变换时间较长的 ADC。本设计采用查询方式进行数据收集。由于 ADC0809 片内无时钟,故利用 8051 提供的地址锁存使能信号 ALE 经 D 触发器二分频后获得时钟。因为 ALE 信号的频率是单片机时钟频率的 1/6,如果时钟频率为 6MHz,则ALE 信号的频率为 1MHz,经二分频后

28、为 500MHz,与 AD0809 时钟频率的典型值吻合。由于 AD0809 具有三态输出锁存妻,故其数据输出引脚可直接与单片机的数据总线相连。地址码引脚 ADDAC 分别与地址总线的低 3 位 A0、A1、A2 相连,以选通 IN0IN7 中的一个通道。采用单片机的 P2.7(地址总线最高位 A15)作为 A/D 的片选信号。并将 A/D 的 ALE和 START 脚连在一起,以实现在锁存通道地址的同时启动 ADC0809 转换。启动信号由单片机的写信号和 P2.7 经或非门而产生。在读取转换结果时,用单片机的读信号和 P2.7 经或非门加工得到的正脉冲作为 OE 信号去打开三态输出锁存器。

29、编写的软件按下列顺序动作:令 P2.7=A15=0。并用 A0、A1、A2 的组合指定模拟通道的地址;执行一条输出指令,启动 A/D 转换;然后根据所选用的是查询、中断、等待延时三中方法之一的条件去执行一条输入指令,读取 A/D 转换结果。ADC0809 是一个 8 路 8 位逐次逼近的 A/D 转换器。AD0809 的转换时间为 100us。在 CPU发出启动 A/D 命令后,便执行一个固定的延时时间应略大于 A/D 的转换时间;延时程序一结束,便执行数据读入指令,读取转换结果。我们只用了其一路 AD 转换,参考电压2.56V,即一位数字量对应 10mV 即 1。所以用起来很方便。2.3.3

30、ADC08092.3.3ADC0809 的主要特性和内部结构的主要特性和内部结构本系统采用 ADC0809 大规模集成电路芯片,它是逐次逼近式 A/D 转换器,输出的数字信号有三态缓冲器,可以和单片机直接接口。ADC0809 的主要技术指标为:分辨率:8 位;单电源供电:+5V;最大不可调误差小于1LSB;转换时间为 l00s(时钟频率为 640KHz);模拟输入范围:单极性 05V;不必进行零点和满刻度调整;功耗为 15Mw;ADC0809 由一个 8 路模拟开关、一个地址锁存与译码器、一个 8 位 A/D 转换器和一个三态输出锁存器组成。其内部结构框图如图 8 所示。图图 8 8 ADC0

31、809ADC0809 转换器的内部结构框图转换器的内部结构框图2.3.4ADC08092.3.4ADC0809 管脚功能及定义管脚功能及定义ADC0809 模数转换器的管脚定义图 9 所示。图图 9 9 ADC0809ADC0809 管脚结构图管脚结构图IN0IN7:8 通道模拟量输入。ADDA、ADDS、ADDC: A、B、C 为地址输入线,用于选通工 IN0IN7 上的一路模拟量输入。通道选择表下所示。ALE:地址锁存允许输入线,高电平有效。当 ALE 线为高电平时,地址锁存与译码器将 A、B、C 三条地址线的地址信号进行锁存,经译码后被选中通道的模拟量进转换器进行转换。D0-D7: 8

32、位输出数据线(三态),A/D 转换结果由这 8 根线传送给单片机。OE:允许输出信号。当 OE=1 时,输出转换得到的数据;当 OE=0 时,输出数据线呈高阻状态。START:转换启动信号。START 为正脉冲,其上跳沿所有内部寄存器清零;下跳沿时,开始进行 A/D 转换;在转换期间,START 应保持低电平。EOC:转换结束信号。当 EOC 为高电平时,表明转换结束;否则,表明正在进行 A/D转换。CLK:时钟输入信号。因 ADC0809 的内部没有时钟电路,所需时钟信号必须由外界提供,频率范围为 10KHz1.2MHz,典型值为 640KHz。通道的选择表通道的选择表 C B A选择的通道

33、 0 0 0 IN0 0 0 1 IN1 0 1 0 IN2 0 1 1 IN3 1 0 0 IN4 1 0 1 IN5 1 1 0 IN6 1 1 1 IN72.3.52.3.5 ADC0809ADC0809 与与 80318031 接口电路接口电路DAC0809 有 8 个通道的模拟量输入,在程序控制下,可令任何通道进行 A/D 转换并可得到相应的 8 位二进制数字量。2.42.4 数字显示电路数字显示电路通常的 LED 显示器有 7 段或 8 段和“米”字段之分。这种显示器有共阳极和共阴极两种。共阴极 LED 显示器的发光二极管的阴极连接在一起,通常此公共阴极接地。当某个发光二极管的阳极

34、为高电平时,发光二极管点亮,相应的段被显示。同样,共阴极 LED 显示器的工作原理也一样。采用 74LS164 与单片机连接,如图 10 所示。图图 10 显示电路显示电路 进行 I/O 口扩充,并通过 74LS164 与 LED 连接达到显示的目的。74LS164 是 8 位串入并出移位寄存器,当单片机串行口工作在方式 0 的发送状态时,串行数据由P3.0(RXD)送出,移位时钟由 P3.1(TXD)送出。在移位时钟作用下,串行口发送缓冲器的数据一位一位地移入 74LS164 中。需要指出的是,由于 74LS164 无并行输出控制端,因而在串行输入过程中,其输出端的状态会不断的变化,故在某些

35、应用场合,在 74LS164 的输出端应加接输出三态控制。其传输方式采用串行口方式 0 的数据传送,可采用中断方式,也可采用查询方式,无论哪种方式,都要借助于 TI 或 RI 标志。串行发送时,可以靠 TI 置位(发完一帧数据后)引起中断申请,在中断服务程序中发送下一帧数据。或者通过查询 TI 的状态,只要 TI 为0 就继续查询,TI 为 1 就结束查询,发送下一帧数据。在串行接受时,则由 RI 引起中断或对 RI 查询来确定何时接收下一帧数据。无论采用什么方式,在开始通讯之前,都要先对控制寄存器 SCON 进行初始化。在方式 0 中,将 00H送 SCON 就可以了。显示采用 3 位共阳

36、LED 静态显示方式,显示内容有温度值的十位、个位及负位,这样可以只用 P3.0(RXD)口来输出显示数据,从而节省了单片机端口资源,在 P3.2(TXD)的控制下通过 74LS164 来实现 3 位静态显示。就是每一个显示器都占有单独的具有锁存功能的 I/O 借口用于笔划段字行代码。只要把要显示的字形代码发送到接口电路,就不管它了,直到要显示心的数据时,再发送新的字形码,因此,使用这种方法单片机中 CPU 的开销较小。2.52.5 加热降温驱动控制电路加热降温驱动控制电路采用开关量控制,如继电器、双向可控硅、光耦等,控温快速,但是双向可控硅驱动电路比较麻烦,调试也麻烦,若用现成的固态继电器(

37、其实就是把双向可控硅和驱动电路做在一起的)价格十分昂贵。若用继电器时要注意其电感的反向电动势,和开关触点对电源的影响,以及开关脉冲对整个电路的影响等。光耦合双向可控硅驱动器是一种单片机输出与双向可控硅之间较理想的接口器件,它由输入和输出两部分组成,输入部分为砷化镓发光二极管,该二极管在 5mA15mA 正向电流作用下发出足够强度的红外光,触发输出部分。连接电路如图 11 所示。 图图 11 加热降温驱动控制电路加热降温驱动控制电路输出部分为硅光敏双向可控硅,在红外光作用下可双向导通。该器件为六引脚双列直插式封装。光电耦合器是以光为媒介传输电信号的一种“电-光-电”转换器件。它由发光源和受光器两

38、部分组成。把发光源和受光器组装在同一密闭的壳体内,彼此间用透明绝缘体隔离。发光源的引脚为输入端,受光器的引脚为输出端,常见的发光源为发光二极管,受光器为光敏二极管、光敏三极管等。光电耦合器的种类较多,常用有光电二极管型、光电三极管型、光敏电阻型、光控晶闸管型、光电达林顿型、集成电路型等。从发光二极管特性看出:发光强度与流过发光二极管中的电流大小有关,即可将输入回路中变化的电流信号转化为变化的信号,而发光三极管中集电极电流大小与注入的光强度有关,从而实现电光电的转换。图图 12 光耦结构及等效电路光耦结构及等效电路光耦合器件具有如下特点:输入回路与输出回路之间通过光完成耦合,彼此之间的绝缘电阻很

39、大,并能承受 200V以上高压。由于刚耦合中的发光二极管以电流方式驱动,动态电阻很小,输入回路中的干扰均不会耦合到输出回路。作为开关使用时,光耦器件具有寿命长,反应速率快的特点。在光电耦合器输入端加电信号使发光源发光,光的强度取决于激励电流的大小,此光照射到封装在一起的受光器上后,因光电效应而产生了光电源,由受光器输出端引出,这样就实现了“电-光-电”的转换。在光电耦合器内部,由于发光管和受光管之间的耦合电容很小(2pF 以内) ,所以共模输入电压通过极间耦合电容对输出电流的影响很小,因而共模抑制比很高。在发光二极管上提供一个偏置电流,再把信号电压通过电阻耦合到发光二极管上,这样光电晶体管接收

40、到的是在偏置电流上增、减变化的光信号,其输出电流将随输入的信号电压作线性变化。光电耦合器也可工作于开关状态,除数脉冲信号。在传输脉冲信号时,输入信号和输出信号之间存在一定的延迟时间,不同结构的光电耦合器输入、输出延迟时间相差很大。空调器通电后,制冷系统内制冷剂的低压蒸汽被压缩机吸入并压缩为高压蒸汽后排至冷凝器。同时轴流风扇吸入的室外空气流经冷凝器,带走制冷剂放出的热量,使高压制冷剂蒸汽凝结为高压液体。高压液体经过过滤器、节流机构后喷入蒸发器,并在相应的低压下蒸发,吸取周围的热量。同时贯流风扇使空气不断进入蒸发器的肋片间进行热交换,并将放热后变冷的空气送向室内。如此室内空气不断循环流动,达到降低

41、温度的目的。2.62.6 键盘电路键盘电路常用的键盘接口分为独立式按键接口和矩阵式键盘接口。采用独立式按键设计每个按键各接一根输入线,一根输入线上的按键工作状态不会影响其他输入线上的工作状态。软件设计采用查询方式和外部中断相结合的方法来设计,低电平有效。按键直接与 89S51 的 I/O 口线相连接,通过读 I/O 口,判断各 I/O 口的电平状态,即可识别出按下的按键。4 个按键分别连接到 P1.0、P1.1、P1.2 和 RST。对于这种键各程序可以采用中断查询的方法,功能就是:检测是否有键逼和,如有键逼和,则去除逼和,则去除键抖动,判断键号并转入相应的键处理。其功能很简单,4 个键定义如

42、下。P1.0:S1 功能转换键,按此键则开始键盘控制。P1.1:S2 加,按此键则温度设定加 1 度。P1.2:S3 减,按此键则温度设定件 1 度。RST:S4 复位键,使系统复位。图图 13 键盘电路键盘电路2.72.7 稳压电源电路设计稳压电源电路设计集成稳压电源设计的主要内容是根据性能指标,选择合适的电源变压器、集成稳压器、整流二极管及滤波电容。在电子电路中,通常都需要电压稳定的直流电源供电。小功率直流电源一般由交流电源、变压器、整流、滤波和稳压电路几部分组成。在电路中,变压器将常规的交流电压(220V、380V)变换成所需要的交流电压;整流电路将交流电压变换成单方向脉动的直流电;滤波

43、电路再将单方向脉动的直流电中所含的大部分交流成分滤掉,得到一个较平滑的直流电;稳压电路用来消除由于电网电压波动、负载改变对其产生的影响,从而使输出电压稳定。常见集成稳压器有固定式三端稳压器与可调试三端稳压器。根据本设计对电压的要求,采用固定式三端稳压集成块。用固定式三端集成稳压电路 7805 设计制作连续可调直流稳压的实际电路如图所示,图中 R1 取 220,R2 取 680 主要用来调整输出电压。输出电压 UoUxx(1+R2/R1),该电路可在 512V 稳压范围内实现输出电压连续可调。图 14 由该电路实践证明:(1)R1 为固定电阻值,改变电阻 R2 的阻值就可获得连续可调的输出电压,

44、输出电压 Uo 近似值等于 Uxx(1+R2/R1)。 (2)最高输出电压受稳压器最大输入电压及最小输入输出压差的限制,该固定式三端集成稳压集成电路 7805 最大输入电压为35V,输入输出差要保持 2V 以上,因此该电路中由于稳压器的直流输入电压为+14V,所以该电路的输出最大值为+12V。 (3)实验表明,在稳压器的稳压范围内,其稳压精度可达0.03。下图为5V 稳压电源电路,CW78系列稳压器输出固定的正电压,如 7805 输出为+5V;CW79系列稳压器输出固定的负电压,如 7905 输出-5V。输入端接电容 C1 可以进一步滤除波纹,输出端接电容 C2 能改善负载的瞬态影响,使电路稳

45、定工作。 2.82.8 电源电路电源电路电源电路的功能和组成每个电子设备都有一个供给能量的电源电路。电源电路有整流电源、逆变电源和变频器三种。常见的家用电器中多数要用到直流电源。直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。电子电路中的电源一般是低压直流电,所以要想从 220 伏市电变换成直流电,应该先把 220 伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电

46、源的组成一般有四大部分。其中变压电路其实就是一个铁芯变压器,需要介绍的只是BRG1后面三种单元电路。电源也不能小视,每一个系统的电源都不容马虎,电源虽然简单,但需要功能可靠,且每一个板子上都有 CBB 电容和高品质的 ELNA 电容做退耦,如图 15 所示。图 15 通过对电路的观察,发现设计所用电源都是直流电源+5V,所以采用三端集成稳压器LM7805,可以方便地实现此功能。如上图就是电路的设计图,先将 220V/50Hz 的交流电源电压通过变压器将其电压转换为 12V,然后接入电桥进行整流,接着通过电容和 LM7805 组成的电路进行滤波,得到所要求的+5V 电压。A/D 转换的 Vref

47、 电压,由 LM317 供给,为2.56V。第三章 软件设计3.13.1 主程序流程图主程序流程图本设计主程序流程如图 16 所示。 图图 16 主程序流程图主程序流程图程序启动后,首先清理系统内存,然后对温度进行采集,并通过 A/D 转换后,传输到单片机,再由单片机控制显示设备,显示现在的温度,然后系统进入待机扎,等待键盘输入设定温度,然后系统将设定温度与现在温度进行比较,得出结果后,启动制冷系统或是加热系统。3.23.2 A/DA/D 转换子程序转换子程序图 17 是 A/D 转换子程序流程图。89S51 给出一个脉冲信号启动 A/D 转换后,ADC0809对接受到的模拟信号进行转换,这个

48、转换过程大约需要 100us,系统采用的是固定延时程序,所以在预先设定的延时后,89S51 直接从 ADC0809 中读取数据。 A/D 转换子程序转换子程序A/D 入口启动 A/D 转换查询 EOC读取转换数据压缩 BCD 码作未压缩处理调整好的十位和个位分别存入某地址单元 子程序结束图图 173.33.3 显示子程序显示子程序否是否是显示子程序入口送设定值(70H)到A带 C 标志移位送 C 到 I/O 口送采集值(71H)到A带 C 标志移位送 C 到 I/O 口(8 次)字节送完?(8 次)字节送完?子程序结束图 18当系统传送一个字节数给 74LS164 时,利用 UART 模式 0

49、。把 DISPLAY_DATA 中的初始数显示到 LED1 和 LED2,十位数值显示到 LED1,个位数值显示到 LED2;当十位数值为 0 时LED1 不显示。每个数值的显示时间又 DISPLAY_TIME 确定。程序流程图 18 所示。3.43.4 键盘扫描子程序键盘扫描子程序 1.本设计采用独立式按键设计。单片机对它的控制采用程序扫描即中断查询方式,A 口为地通口,B 为显示口,C 为查询输入口。 键盘扫描子程序功能如下。 (1)判断键盘上有无按键按下,方法为:每个按键各接一根输入线。一根输入线上的按键工作状态不会影响其他输入上的工作状态。软件设计采用查询方式和外部各中断结合的方法来设

50、计,低电平有效。按键直接与 AT89S51 的 I/O 口线相连接。通过 I/O 口的电平状态,即可识别出按下的按键。4 个按键分别接到 P1.0、P1.1、P1.2 和 RET。 (2)去键的机械抖动影响:在判断有键按下后,软件延时一段时间后再判断键盘状态。如果仍为有键按下状态。则认为却是有键被按下 T;否则,按照键抖动处理。 (3)判断闭合键的建号:对键盘的列线进行扫描,扫描口为 PAOPA7,一次输出扫描字为 FEH,FDH,FBH,P8H,EFH,DFH,BFH,7FH。读出 PC 口的状态,若全为 1 则列线输出为0 的这一列上没有键闭合,否则这一列有贱闭合。闭合键的键号等于处于低电

51、平的列号加上低电的行的首建号。例如:PA 口的输出为 11111101,读出 PC1PC0 为 01. (4)CPU 对键的一次闭合仅作一次处理,采用的方法是等待键释放后再将键号送入累加器 A 中键盘扫描子程序图 19 所示。图 193.53.5 温度采样温度采样 采样子程序:流程图图 20。图图 2020 采样子程序流程图采样子程序流程图3.63.6 主程序清单主程序清单空调温度控制单元设计程序清单主程序ORG 0000HMOV P0,#0FFH ;初始化MOV P1,#00HMOV P2,#01011000BMOV P3,#0FFHCLR P3.4LCALL DATA0809 ;调用 AD

52、MOV 70H,#0FFHLCALL XS ;调用显示LCALL YS700MS ;调用延时MOV A,6CHMOV P1,6CHLCALL FYMOV P1,#09HLCALL FYMAIN00: SETB P3.1 ;停止预置数DELAY43: MOV R6,#50DELAY53: MOV R7,#100DELAY63: JB P2.4,QIDONG DJNZ R7,DELAY63 DJNZ R6,DELAY53 DJNZ R5,DELAY43 LCALL DATA0809 LCALL XS AJMP MAIN ;等待键盘输入LCALL YS10S ;调用延时 LJMP STOPMAIN:

53、 MOV R5,#50 LCALL KEYPROCTEMC: MOV P1,#00000001B ;温度控制请按 1LCALL KEYPROC ;调用键盘子程序CLR P3.6LCALL YS2MSCLR P3.7LCALL YS20MSCLR P3.6LCALL YS2MSCLR P3.7LCALL YS20MSLCALL YY0MOV R5,#50SRWD: MOV R4,#03HSRWD1: MOV P1,#00000011B ;请输入温度值LCALL KEYPROC ;调用键盘子程序 CLR P3.6 LCALL YS2MS CLR P3.7 MOV R5,#53DELAY18: MO

54、V R6,#50DELAY28: MOV R7,#200DELAY38: JB P2.3,HERE22 DJNZ R7,DELAY38 DJNZ R6,DELAY28 DJNZ R5,DELAY18 SETB P3.7 SETB P3.6 LCALL YS20MS LCALL DATA8870DELAY40: MOV R6,#50DELAY50: MOV R7,#200DELAY60: JB P2.3,HERE25 DJNZ R7,DELAY60 DJNZ R6,DELAY50 DJNZ R5,DELAY40DJNZ R4,TEML1 LJMP STOP HERE17: JNB P2.3,HE

55、RE17HERE25: LCALL YS2MS MOV A,P3 ANL A,#0FHHERE26: JB P2.3, HERE26 CJNE A,#01H,BJ1 LCALL TEM AJMP TEMCBJ1: CJNE A,#02H,STOPAJMP SRWD STOP: MOV P1,#00000010B ;按 2 键确定LCALL KEYPROC ;调用键盘子程序CLR P2.7LCALL YS20MSSETB P2.7 AJMP QUDONGQUDONG: LCALL DATA0809 ;调用 ADLCALL XS ;调用显示MOV A,70HCJNE A,#0FFH,AAA1LJM

56、P MAIN AAA1: CJNE A,71H,HERE7 ;比较两数大小不相等则跳 CLR P1.6 ;清零两口 CLR P1.7AJMP DD1 ;实时检测HERE7: JNC JIARE JC JIANGWENJIARE: SETB P1.7 CLR P1.6 AJMP DD1JIANGWEN: SETB P1.6CLR P1.7 AJMP DD1 LCALL DATA0809 ;调用 AD MOV 70H,#0FFH LCALL XS ;调用显示 LCALL YS700MS ;调用延时DD1: MOV R5,#50DL11: MOV R6,#50DL21: MOV R7,#100DL3

57、1: JB P2.4,HERE8 DJNZ R7,DL31 DJNZ R6,DL21 DJNZ R5,DL11 AJMP QUDONGHERE8: JB P2.4,AA1AJMP DL3AA1: LCALL YS700MS JB P2.4,AA2 AJMP DL3AA2: LJMP MAINHERE9: LCALL YS10S ;调用延时DATA8870: MOV R5,#100DELAY19: MOV R6,#50DELAY29: MOV R7,#200DELAY39: JB P2.3,WAIT1DJNZ R7,DELAY39DJNZ R6,DELAY29DJNZ R5,DELAY19DJN

58、Z R4,SRWD3 LJMP STAET ;重新复位起动显示子程序XS: MOV R0,#08HSTART: CLR P2.0CLR P2.1CLR P2.2MOV A,70HLCALL YWMOV A,71HLCALL YW SETB P2.2LCALL YS1MSRETYW: RLC A ;移位送数MOV P2.1, CCLR P2.0 SETB P2.0DJNZ R0,YWMOV R0,#08HRETYY0: MOV R5,#74DELAY15: MOV R6,#50DELAY25: MOV R7,#200DELAY35: JB P2.3,HERE10 DJNZ R7,DELAY35D

59、JNZ R6,DELAY25DJNZ R5,DELAY15SETB P3.7 SETB P3.6LCALL YS20MSRET延时子程序YS2MS: MOV R6,#20HL11: MOV R7,#20HL22: DJNZ R7,L22DJNZ R6,L11RETYS700MS: MOV R5,#8DL1: MOV R6,#210DL2: MOV R7,#200DL3: DJNZ R7,DL3DJNZ R6,DL2DJNZ R5,DL1RETYS20MS: MOV R6,#50YDL1: MOV R7,#200YDL2: DJNZ R7,YDL2DJNZ R6,YDL1RET YS10S: M

60、OV R5,#100DELAY12: MOV R6,#140DELAY22: MOV R7,#200DELAY32: DJNZ R7,DELAY32DJNZ R6,DELAY22DJNZ R5,DELAY12RETA/D 转换DATA0809: SETB P2.6NOPNOP SETB P2.5 ;启动 ADNOPNOPCLR P2.5HERE1: JB P2.6,HERE1 ;低电平检测HERE2: JNB P2.6,HERE2LCALL YS1MS ;数据调整 MOV A,P0ANL A,#01111111BMOV B,#100DIV ABMOV A,BMOV B,#10DIV ABMOV

61、 6CH,ASWAP AMOV 6BH,BORL A,6BHMOV 71H,A RET键盘子程序KEYPROC: MOV A,B ; 从 B 寄存器中获取键值JB ACC.2,KeyStart ;分析键的代码,某位被按下,则该位为 1(因为在键盘程序中已取反)JB ACC.3,KeyOverJB ACC.4,KeyUpJB ACC.5,KeyDownAJMP KEY_RETKeyStart: SETB StartEnd ;第一个键按下后的处理AJMP KEY_RETKeyOver: CLR StartEnd ;第二个键按下后的处理AJMP KEY_RETKeyUp: SETB UpDown ;

62、第三个键按下后的处理AJMP KEY_RETKeyDown: CLR UpDown ;第四个键按下后的处理KEY_RET: RETKEY: CLR F0 ;清 F0,表示无键按下。ORL P3,#00111100B ;将 P3 口的接有键的四位置 1MOV A,P3 ;取 P3 的值ORL A,#11000011B ;将其余 4 位置 1CPL A ;取反JZ K_RET ;如果为 0 则一定无键按下ACALL DELAY ;否则延时去键抖ORL P3,#00111100BMOV A,P3ORL A,#11000011BCPL AJZ K_RETMOV B,A ;确实有键按下将键值存入 B 中

63、SETB F0 ;设置有键按下的标志K_RET: ORL P3,#00111100B ;此处循环等待键的释放MOV A,P3ORL A,#11000011BCPL AJZ K_RET1 AJMP K_RETK_RET1: RET第四章 系统调试 本设计仿真采用 ISIS 软件,调试时分静态测试、通电测量、动态测试和联机统调。4.14.1 静态测试静态测试不通电源,不插器件,用万能表100 档按图纸的接线点,看两点间的连线是否接通不好,如果有电阻存在或者不通,要纠其原因,需将测试笔分别与两器件的插脚孔相接触,万能表指针应回零。其中一测试笔不动,另一测试笔分别与最近的两插脚孔接触一下,其阻值应无穷

64、大,证明此线安装不误。再依次测量其他各连线。最后再测量电源正、负极入口处电阻,应有一定阻值,说明电路安装有短路现象出现,决不能在此情况下通电。4.24.2 通电测量通电测量仍不插器件,用万能表10V 档,黑表笔字公共地端保持不动,红表笔分别测各器件插座的插脚孔端,只有与电源端相连或有关分压端的引脚才有电压,其他引脚都不应该有电压。此检查至关重要。电位器上的分压电源看是否可调,初步调整到所规定的电压数值。4.34.3 动态测试动态测试把所有器件插好通电,观察几秒,看电阻是否有发黑或冒烟的现象,用手触摸一下集成器件,看是否有过热发烫,如果没有异常现象,立刻断电,重新再查原因。用标准温度计进行实测,

65、观察其误差。4.44.4 联机统调联机统调将程序写如 89S51 的 ROM,通电调试。由于空调机连接不方便,调试时用键盘输入目标温度值,仅仅检验驱动电路输出信号是否正确。设计结论此次毕业设计是我们从大学生涯中走出的重要一步。从最初的选题,开始画图、制作知道完成设计。齐肩,查阅资料,老师指导,与同学交流,反复修改,反复调试、实验,最终调试成功,每一个过程都是对自己能力的一次检验和充实。比如学会了查阅相关资料,相关标准,分析数据,同事也提高了自己的画图能力。通过这次实践我了解了空调温度控制的用途及工作原理,熟悉了温度控制的设计步骤。重新认识了单片机的汇编语言编程方式,同事锻炼了工程设计实践能力,

66、培养了自己独立设计能力,更加坚固了自己学习的信心,基于软件编程的系统化,完整化,实际化设计有了进一步接触。完善了自己前期理论学习的同事加强了自己的动手实践能力。但通过此次毕业设计也暴露了自己专业基础知识的很多不足之处,比如缺乏综合应用知识的能力,对材料的不了解等。这次事件是对我五年大学学习的一次大检阅,使自己明白自己知识还很浅薄,虽然马上要毕业,但自己的求学之路还很长,以后更应该在工作中不断的学习,提升自己。设计体会经过这段时间对空调温度控制单元的设计,让我了解了设计电路的程序,了解到了空调温度控制单元设计设计的原理和理念。比起前次的一知半解,这次我们去找了相关的书籍,从而找出重点,应用到我们的设计之中,使之更完善。但是,我们还是发现了一些欠缺的地方,自己相关知识的欠缺和拿到资料时的无从着手,内容的重复,有用无用,让人头疼。不过经过老师的细心提示后,我发现了一些内容是可以加以设计的,取其精华,让自己的设计更丰富、完善。正因为这次的设计,让我看到了自身知识的缺乏,以后要多看看有关的专业书,了解更多的相关专业知识。实际生活中的相关操作是有必要的,能否创造些机会也是必不可少的。总而言之,这次

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!