智能仪器设计实例

收藏

编号:208016100    类型:共享资源    大小:1.27MB    格式:PPT    上传时间:2023-05-09
10
积分
关 键 词:
智能 仪器 设计 实例
资源描述:
内容提要:内容提要:一、背景和意义一、背景和意义二、研究现状二、研究现状三、技术指标三、技术指标四、整体方案设计及工作原理四、整体方案设计及工作原理五、波形数据的获取五、波形数据的获取六、硬件电路设计六、硬件电路设计七、软件设计七、软件设计一、背景和意义一、背景和意义函数信号发生器是广泛应用于系统检测调试、自函数信号发生器是广泛应用于系统检测调试、自动测量控制和教学实验等领域的多波形信号源;动测量控制和教学实验等领域的多波形信号源;可以产生正弦波、三角波、锯齿波、方波等多种可以产生正弦波、三角波、锯齿波、方波等多种波形,由于其输出的波形均可用数学函数描述,波形,由于其输出的波形均可用数学函数描述,故命名为函数信号发生器;故命名为函数信号发生器;函数信号发生器在工业生产、产品开发、科学研函数信号发生器在工业生产、产品开发、科学研究等实验测试中起着十分重要的作用,除供通信、究等实验测试中起着十分重要的作用,除供通信、仪表和自动控制系统测试用外,还广泛用于生物仪表和自动控制系统测试用外,还广泛用于生物医学等各个领域的测试。医学等各个领域的测试。二、研究现状二、研究现状信号发生的主要实现方法有信号发生的主要实现方法有直接模拟法直接模拟法和和直接直接数字法数字法两种两种 1、直接模拟法直接模拟法:采用模拟电子技术,由调谐振荡器和调幅放大采用模拟电子技术,由调谐振荡器和调幅放大器加上一些指示电路构成,仅能产生正弦波、三角器加上一些指示电路构成,仅能产生正弦波、三角波、方波等几种简单的波形,其电路结构复杂、尺波、方波等几种简单的波形,其电路结构复杂、尺寸大且功耗大,并且频率不高,由于模拟电路温漂寸大且功耗大,并且频率不高,由于模拟电路温漂大而使得其波形稳定性差,且难以产生精准的频率大而使得其波形稳定性差,且难以产生精准的频率信号,不易调试。信号,不易调试。1、直接模拟法直接模拟法2、直接数字法直接数字法 19711971年,美国学者年,美国学者J.TierncyJ.Tierncy,C.M.RaderC.M.Rader和和B.GoldB.Gold提出了提出了以全数字技术,从相位概念出发直接合成所需波形的一种以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成原理。新的频率合成原理。直接数字法是采用直接数字法是采用直接数字合成(直接数字合成(Direct Digital Direct Digital SynthesisSynthesis)的方法实现信号产生。的方法实现信号产生。该技术具有频率转换速度快、频率分辨率高、易于控制的该技术具有频率转换速度快、频率分辨率高、易于控制的突出优点。直接数字合成技术近年来发展的很快,而要产突出优点。直接数字合成技术近年来发展的很快,而要产生任意波形就必须采用直接数字合成技术。生任意波形就必须采用直接数字合成技术。2 2、直接数字合成法、直接数字合成法(2 2种直接数字合成结构)种直接数字合成结构)1 1)、基于地址计数器的数字频率合成法)、基于地址计数器的数字频率合成法工作原理:工作原理:将波形数据存储于存储器中,而后用可程控的时钟信号为存储器将波形数据存储于存储器中,而后用可程控的时钟信号为存储器提供扫描地址,波形数据被送至提供扫描地址,波形数据被送至DACDAC,经数模转换和低通滤波器后得到所,经数模转换和低通滤波器后得到所需的模拟电压波形。需的模拟电压波形。假定地址计数器的时钟频率为假定地址计数器的时钟频率为foscfosc,波形一周期内有,波形一周期内有n n个采样值,那么合成的个采样值,那么合成的波形频率为:波形频率为:如果改变地址计数器的时钟频率或存储器的地址步进大小,合成波形的频率如果改变地址计数器的时钟频率或存储器的地址步进大小,合成波形的频率都会随着改变。而要改变波形,只要在只读存储器中写入不同的数据。都会随着改变。而要改变波形,只要在只读存储器中写入不同的数据。2 2、基于相位累加器的直接数字合成法、基于相位累加器的直接数字合成法2 2、基于相位累加器的直接数字合成法、基于相位累加器的直接数字合成法p核心核心是相位累加器,由一个加法器和一个相位寄存器组成,是相位累加器,由一个加法器和一个相位寄存器组成,K K是频率控制字,也是相位增量或步长;是频率控制字,也是相位增量或步长;f fclkclk是参考时钟的是参考时钟的频率,频率,N N是相位累加器的位数,位宽是是相位累加器的位数,位宽是2 2N N ,p数据存储器中存储的是一个周期正弦波的数字幅度信息,数据存储器中存储的是一个周期正弦波的数字幅度信息,每个地址对应正弦波中每个地址对应正弦波中 0-360 0-360范围的一个相位点,存储范围的一个相位点,存储器共有器共有2 2N N个地址空间,即把个地址空间,即把360360分割成分割成2 2N N 份。份。p每来一个时钟每来一个时钟f fclk clk,相位累加器就把频率控制字,相位累加器就把频率控制字K K与相位与相位寄存器输出的累加字相加,相加后得到的结果作为查询表寄存器输出的累加字相加,相加后得到的结果作为查询表(ROMROM存储器)的地址,同时把这个累加值再送到加法器存储器)的地址,同时把这个累加值再送到加法器作为下一次累加的输入。相位累加器的输出是一个等差数作为下一次累加的输入。相位累加器的输出是一个等差数列,列,是相位累加器的初始是相位累加器的初始 值,值,n n是参考时钟的个数。是参考时钟的个数。2 2、基于相位累加器的直接数字合成法、基于相位累加器的直接数字合成法p相位增量(频率控制字)不同时相位增量(频率控制字)不同时,一个周期内的取样点数一个周期内的取样点数不同,相位寄存器每经过不同,相位寄存器每经过2 2N N/K/K 个个 fclk fclk 时钟后回到初始时钟后回到初始状态,输出信号的频率是状态,输出信号的频率是p频率控制字与输出信号频率和参考时钟频率之间的关系为:频率控制字与输出信号频率和参考时钟频率之间的关系为:p通过通过改变相位累加器的频率控制字改变相位累加器的频率控制字K K或者或者参考时钟频率参考时钟频率fclkfclk来改变输出信号的频率。来改变输出信号的频率。2 2、基于相位累加器的直接数字合成法、基于相位累加器的直接数字合成法工作原理:工作原理:从连续信号的相位出发将信号取样、量化、编码从连续信号的相位出发将信号取样、量化、编码,形成一个形成一个函数表函数表,存在波形存储器中。基于相位与幅度的对应关系存在波形存储器中。基于相位与幅度的对应关系,通过改变频率通过改变频率控制字控制字K K来改变相位累加器的相位增量来改变相位累加器的相位增量,然后在参考时钟的控制下取样然后在参考时钟的控制下取样,取样得到的相位值通过相位幅度转换得到相位值对应的幅度序列取样得到的相位值通过相位幅度转换得到相位值对应的幅度序列,幅度幅度序列再通过序列再通过D/AD/A转换为相应的电压信号,转换为相应的电压信号,D/AD/A转换器输出的一系列的阶梯电转换器输出的一系列的阶梯电压信号经低通滤波器后便输出了光滑的合成信号。压信号经低通滤波器后便输出了光滑的合成信号。DDSDDS相位码和幅度码的关系相位码和幅度码的关系型型号号最大工作最大工作(MHz)工作电压(工作电压(V)最大功耗(最大功耗(mw)备备注注AD9832253.3/5120小型封装,串行输入,内置小型封装,串行输入,内置D/A转换器。转换器。AD9831253.3/5120低电压,经济,内置低电压,经济,内置D/A转换器。转换器。AD9833252.55.52010个管脚的个管脚的uSOIC封装。封装。AD9834502.55.52520个管脚的个管脚的TSSOP封装并内置比较器。封装并内置比较器。AD9835505200经济,小型封装,串行输入,内置经济,小型封装,串行输入,内置D/A转换器。转换器。AD9830505300经济,并行输入,内置经济,并行输入,内置D/A转换器。转换器。AD98501253.3/5480内置比较器和内置比较器和D/A转换器。转换器。AD98531653.3/51150可编程数字可编程数字QPSK/16-QAM调制器。调制器。AD98511803/3.3/550内置比较器、内置比较器、D/A转换器和时钟转换器和时钟6倍频器。倍频器。AD98523003.31200内置内置12位的位的D/A转换器、高速比较器、线性调频和可转换器、高速比较器、线性调频和可编程参考时钟倍频器。编程参考时钟倍频器。AD98543003.31200内置内置12位两路正交位两路正交D/A转换器、高速比较器和可编程转换器、高速比较器和可编程参考时钟倍频器。参考时钟倍频器。AD985810003.32000内置内置10位的位的D/A转换器、转换器、150MHz相频检测器、充电汞相频检测器、充电汞和和2GHz混频器。混频器。高性能高性能DDSDDS单片电路单片电路三、技术指标三、技术指标电压输出范围:电压输出范围:0 010V10V精精 度:度:0.5%FS0.5%FS输出波形:正方波、负方波、正负方波、阶跃波、输出波形:正方波、负方波、正负方波、阶跃波、单三角波、连续三角波、锯齿波、指数波、半正弦单三角波、连续三角波、锯齿波、指数波、半正弦波、正弦波、任意波形(包括再现用户实测采样波波、正弦波、任意波形(包括再现用户实测采样波形)形)输出波形频率范围:输出波形频率范围:0.12Hz0.12Hz50kHz50kHz环境温度:环境温度:-10-105050相对湿度:相对湿度:8080电源要求:可在无市电电源场合使用,电源噪声小电源要求:可在无市电电源场合使用,电源噪声小四、整体方案设计及工作原理四、整体方案设计及工作原理1 1、整体设计方案:、整体设计方案:采用虚拟仪器技术、直接数字合成技术和计算机技术设计并研制低成本、采用虚拟仪器技术、直接数字合成技术和计算机技术设计并研制低成本、高精度、人机界面友好的函数信号发生器。此方案硬件实现电路简单,电高精度、人机界面友好的函数信号发生器。此方案硬件实现电路简单,电路中省去了单片机、液晶显示以及按钮等,节省仪器成本。路中省去了单片机、液晶显示以及按钮等,节省仪器成本。2 2、工作原理:、工作原理:仪器工作过程完全由计算机通过仪器工作过程完全由计算机通过USBUSB接口来控制;接口来控制;用户通过计算机软面板输入幅值、频率、基线和占空用户通过计算机软面板输入幅值、频率、基线和占空比等波形参数,计算机接收这些信息,分析后计算出比等波形参数,计算机接收这些信息,分析后计算出地址计数器时钟信号的频率和一个周期的波形数据个地址计数器时钟信号的频率和一个周期的波形数据个数,再计算波形幅度量化数据,通过接口电路和控制数,再计算波形幅度量化数据,通过接口电路和控制器把波形数据顺序存储到波形存储器。器把波形数据顺序存储到波形存储器。利用可程控的时钟发生器推进地址计数器扫描地址,利用可程控的时钟发生器推进地址计数器扫描地址,波形存储器中的数据被同步时钟循环地读出,同时启波形存储器中的数据被同步时钟循环地读出,同时启动动D/AD/A变换器,变换器,D/AD/A变换器输出的模拟信号经过电压跟变换器输出的模拟信号经过电压跟随器和低通滤波器即可得到所需要的模拟信号波形。随器和低通滤波器即可得到所需要的模拟信号波形。四、整体方案设计及工作原理四、整体方案设计及工作原理采用采用标准函数法标准函数法,是通过选取标准函数(如正弦函数,三角,是通过选取标准函数(如正弦函数,三角函数,方波函数,锯齿波函数等)来得到波形数据的。当波函数,方波函数,锯齿波函数等)来得到波形数据的。当波形的函数表达式已知时,利用软件编程产生波形数据;形的函数表达式已知时,利用软件编程产生波形数据;波形数据是信号波形幅值的数字量表示,是指在规定的同步波形数据是信号波形幅值的数字量表示,是指在规定的同步时钟周期内用时钟周期内用“1”1”或或“0”0”数字状态来定义波形,即波形数数字状态来定义波形,即波形数字化。字化。由于存储器存储容量有限,因此当系统连续的输出信号时,由于存储器存储容量有限,因此当系统连续的输出信号时,只能是周而复始地重复读出存储器中一部分或全部的波形抽只能是周而复始地重复读出存储器中一部分或全部的波形抽样数据。样数据。为了保证波形质量,应该使一个周期内的存储点数足够多。为了保证波形质量,应该使一个周期内的存储点数足够多。五、波形数据的获取五、波形数据的获取以正弦波为例说明波形数据是如何产生的。以正弦波为例说明波形数据是如何产生的。正弦波的函数表达式是正弦波的函数表达式是 :式中:式中:AmpAmp输出波形幅值,输出波形幅值,BaseBase输出波形的基线,输出波形的基线,NN波波形在一个周期内的采样点数。其中形在一个周期内的采样点数。其中AmpAmp和和BaseBase是由用户设是由用户设定的,定的,AmpAmp和和BaseBase应该满足:应该满足:0 0Amp10Amp10,10Base1010Base10。公式公式1五、波形数据的获取五、波形数据的获取根据根据公式公式1 1,正弦波在一个周期内的采样点值是:,正弦波在一个周期内的采样点值是:再将再将f(i)f(i)按按DACDAC的比特数取整,从而得到:的比特数取整,从而得到:式中:式中:nn数模转换器数模转换器DACDAC的位数,的位数,n=16n=16,INT INT 取整函数取整函数 五、波形数据的获取五、波形数据的获取每个周期共有每个周期共有N N个点个点,式中:式中:foscfosc是时钟发生器频率,是时钟发生器频率,foutfout是输出波形频率,由用户设是输出波形频率,由用户设定,是已知量。定,是已知量。双极性工作方式双极性工作方式五、波形数据的获取五、波形数据的获取把数值转换成十六进制数,依次写入数据存把数值转换成十六进制数,依次写入数据存储器中。当地址计数器在可程控时钟发生器储器中。当地址计数器在可程控时钟发生器的驱动下开始计数时,就会依次选中存储器的驱动下开始计数时,就会依次选中存储器中的中的0 0单元、单元、1 1单元、单元、N-1N-1单元地址,从单元地址,从而将其中数据依次取出送到而将其中数据依次取出送到D/AD/A变换器的数据变换器的数据线上,经过转换后,就能得到相应幅值的模线上,经过转换后,就能得到相应幅值的模拟信号。拟信号。五、波形数据的获取五、波形数据的获取 当地址计数器计满当地址计数器计满N N个数时,就得到一个周期的个数时,就得到一个周期的正弦信号。然后计数器自动清零,再从存储器的正弦信号。然后计数器自动清零,再从存储器的0 0单单元读出数据送至元读出数据送至D/AD/A变换器,计数器循环往复不断计变换器,计数器循环往复不断计数,即可得到连续的正弦波信号。数,即可得到连续的正弦波信号。为了保证波形质量,应该使一个周期内的数据为了保证波形质量,应该使一个周期内的数据个数足够多,所以电路中地址计数器的时钟频率应个数足够多,所以电路中地址计数器的时钟频率应该远远大于用户所需信号频率。该远远大于用户所需信号频率。五、波形数据的获取五、波形数据的获取1 1、接口电路的设计、接口电路的设计2 2、控制器电路的设计、控制器电路的设计3 3、波形发生电路的设计、波形发生电路的设计p3.1 3.1 时钟电路时钟电路p3.2 3.2 地址计数器电路地址计数器电路p3.3 3.3 地址计数器自动清零电路地址计数器自动清零电路p3.4 3.4 波形数据存取电路的设计波形数据存取电路的设计p3.5 DA3.5 DA转换电路转换电路p3.6 DAC3.6 DAC的基准电源电路的基准电源电路4 4、模拟滤波器组电路、模拟滤波器组电路5 5、电源管理电路、电源管理电路六、硬件电路设计六、硬件电路设计六、硬件电路设计六、硬件电路设计1 1、接口电路的设计、接口电路的设计接口电路是计算机和函数信号发生器之间进行信息交换的接口电路是计算机和函数信号发生器之间进行信息交换的中转站。中转站。接口电路具备执行计算机命令的功能和电平匹配的功能。接口电路具备执行计算机命令的功能和电平匹配的功能。USBUSB接口芯片选用的是接口芯片选用的是CYPRESSCYPRESS公司的公司的CY7C68013CY7C68013,它内部,它内部集成了增强型集成了增强型80518051内核、智能内核、智能USBUSB串行接口引擎、串行接口引擎、USBUSB数据数据收发器、通用串行接口、收发器、通用串行接口、3 3个个8 8位位I/OI/O口、口、1616位地址线、位地址线、8.5kB RAM8.5kB RAM和和4kB FIFO4kB FIFO等。接口电路由计算机的等。接口电路由计算机的USBUSB接口供接口供电。接口电路输出电。接口电路输出1212个控制信号给后续电路。个控制信号给后续电路。2 2、控制器电路的设计、控制器电路的设计控制器电路实际上也是并行口的控制器电路实际上也是并行口的端口扩展电路端口扩展电路。电路中所需要的控制信号共有电路中所需要的控制信号共有7070多个,而多个,而USBUSB接口芯接口芯片的片的I/OI/O口有限,不能满足电路的需要,因此需要将口有限,不能满足电路的需要,因此需要将端口进行扩展。端口进行扩展。端口扩展方法端口扩展方法:用用4/164/16译码器译码器45144514和锁存器和锁存器74HC37374HC373扩展端口扩展端口 用控制信号作为译码器的输出选择信号,译码器的输出作为锁存器的选用控制信号作为译码器的输出选择信号,译码器的输出作为锁存器的选通信号。数据线连接到锁存器电路的输入端,作为共用的数据总线,锁通信号。数据线连接到锁存器电路的输入端,作为共用的数据总线,锁存器把数据端口发出的数据锁存,当锁存器的选通信号被选中时,锁存存器把数据端口发出的数据锁存,当锁存器的选通信号被选中时,锁存器的输出管脚读取锁存的数据,这样就产生了电路中所需的控制信号,器的输出管脚读取锁存的数据,这样就产生了电路中所需的控制信号,控制信号同时被写入控制点。控制信号同时被写入控制点。4 4个个控制控制信号信号8 8个个数据数据信号信号3 3、波形发生电路的设计、波形发生电路的设计时钟发生电路为地址计数器提供时钟信号。时钟发生电路为地址计数器提供时钟信号。地址计数器产生波形存储器的地址信号。地址计数器产生波形存储器的地址信号。波形存储器用来存储波形的量化数据;波形存储器用来存储波形的量化数据;计算机通过控制器将波形量化数据写入存储器,再控制计算机通过控制器将波形量化数据写入存储器,再控制存储器将存储的波形数据经地址扫描读出,送到存储器将存储的波形数据经地址扫描读出,送到D/AD/A转转换器,获得输出波形。换器,获得输出波形。3.1 3.1 时钟电路时钟电路可编程的集成晶体振荡器可编程的集成晶体振荡器EXO3EXO3提供时钟信号,提供时钟信号,F0=16MHz,F0=16MHz,ENEN高电平有效,第高电平有效,第2 2脚输出频率脚输出频率3.2 3.2 地址计数器电路地址计数器电路5 5片片4 4位二进制同步计数器位二进制同步计数器74HC16374HC163组成片间快速进位的二进组成片间快速进位的二进制计数器,制计数器,512K512K的存储器,的存储器,512K=2512K=21919 ,1919根地址线,根地址线,A0-A0-A18A18,3.3 3.3 地址计数器自动清零电路地址计数器自动清零电路波形存储器中存储的只是一个周期的波形数据,波形数据存储在从零地波形存储器中存储的只是一个周期的波形数据,波形数据存储在从零地址开始的存储单元里。为了产生连续的完整的周期信号,需要存储器地址开始的存储单元里。为了产生连续的完整的周期信号,需要存储器地址推进到波形数据存储单元对应的最后一个地址时,地址计数器自动清址推进到波形数据存储单元对应的最后一个地址时,地址计数器自动清零,再从零地址开始重新读出存储器中的数据,这样波形数据被循环读零,再从零地址开始重新读出存储器中的数据,这样波形数据被循环读出,才能得到完整的连续的周期波形出,才能得到完整的连续的周期波形 。3.4 3.4 波形数据存取电路波形数据存取电路采用采用RAMRAM作为波形存储器是最方便的。波形存储器用来存储波形的量化作为波形存储器是最方便的。波形存储器用来存储波形的量化数据。数据。512K8512K8的高速的高速CMOSCMOS静态静态RAM,RAM,一片存储高一片存储高8 8位数据,另一片存位数据,另一片存储低储低8 8位数据,地址线共用。该存储器功耗低,单电源供电,读写时序位数据,地址线共用。该存储器功耗低,单电源供电,读写时序简单,易于程序控制。简单,易于程序控制。读时序读时序写时序写时序3.4 3.4 波形数据存取电路波形数据存取电路3.5 DA3.5 DA转换电路转换电路p采用采用16bit16bit的的DACDAC:AD669AD669。p该该DACDAC的输出电压范围可编程设定,单极性输出范围是的输出电压范围可编程设定,单极性输出范围是0 010V,10V,双极性是双极性是-10-10+10V+10V。p内带两级缓冲寄存器,这样可以消除数据抖动误差。内带两级缓冲寄存器,这样可以消除数据抖动误差。p波形数据的二进制代码以波形数据的二进制代码以1616位并行方式写入,双极性输出位并行方式写入,双极性输出时,数据代码为时,数据代码为0000H0000H,对应的模拟输出为,对应的模拟输出为-10V,-10V,输入代码输入代码为为8000H8000H,模拟输出为,模拟输出为0V0V,输入代码为,输入代码为FFFFHFFFFH,模拟输出为,模拟输出为+10 V+10 V。p该芯片温漂小,工作温度范围是该芯片温漂小,工作温度范围是40408585。pD/AD/A转换电路中它是双极性输出,由另一片单极性转换电路中它是双极性输出,由另一片单极性 输出输出的的DACDAC为其提供精密参考电压,以实现输出波形的幅值控为其提供精密参考电压,以实现输出波形的幅值控制。制。3.5 DA3.5 DA转换电路转换电路3.6 DAC3.6 DAC的基准电源电路的基准电源电路p采用一片采用一片DACDAC的输出作为波形的输出作为波形DACDAC的基准电压,的基准电压,p是单极性输出,输出电压可编程设定,输出范围是单极性输出,输出电压可编程设定,输出范围是是0 010V10V,输出电压可以微调。,输出电压可以微调。p如果信号输出幅值是如果信号输出幅值是5V5V,则波形,则波形DACDAC的基准电压就的基准电压就是是5V5V,利用软件调整基准电压来实现输出波形的,利用软件调整基准电压来实现输出波形的幅值控制。幅值控制。p采用双采用双DACDAC结构能够保证结构能够保证DACDAC的的1616位分辨率,提高位分辨率,提高仪器的精度。仪器的精度。4 4、模拟滤波器组电路设计、模拟滤波器组电路设计p采用采用3 3个滤波器组成的滤波器组完成输出频率范围个滤波器组成的滤波器组完成输出频率范围内周期性信号的滤波。内周期性信号的滤波。p输出频率小于输出频率小于1kHz1kHz的周期信号由滤波器的周期信号由滤波器1 1滤波,滤波,1kHz1kHz到到10kHz10kHz频率范围的周期信号由滤波器频率范围的周期信号由滤波器2 2滤波,滤波,10kHz10kHz到到50kHz50kHz频率范围的周期信号由滤波器频率范围的周期信号由滤波器3 3滤波,滤波,其它信号直接输出。其它信号直接输出。p采用的是四阶低通滤波器,由两个二阶无限增益采用的是四阶低通滤波器,由两个二阶无限增益多路反馈低通滤波器串联起来构成。多路反馈低通滤波器串联起来构成。p四阶低通滤波器的放大倍数是四阶低通滤波器的放大倍数是1 1倍。当用户设定了倍。当用户设定了输出波形的频率后,计算机控制开关自动选择一输出波形的频率后,计算机控制开关自动选择一组滤波器滤波。组滤波器滤波。OPA2132OPA2132是供电范围是是供电范围是-15V-15V+15V+15V的双运放的双运放5 5、电源管理电路、电源管理电路+15V7815+15V7815;-15V7915-15V7915;+5V7805+5V7805,LP2985LP2985七、软件设计七、软件设计开发环境:开发环境:以以Visual Basic6.0Visual Basic6.0作为软件开发平台。作为软件开发平台。Visual BasicVisual Basic引用了引用了Windows Windows 的三大核心技术的三大核心技术,即即可视化技术、面向对象的方法和事件驱动程序设计。可视化技术、面向对象的方法和事件驱动程序设计。它具有可视性、方便性及较强的交互能力,是一种它具有可视性、方便性及较强的交互能力,是一种完全面向对象、功能强大的开发工具,它还是一个完全面向对象、功能强大的开发工具,它还是一个功能强大的集成开发环境,应用程序的设计、调试、功能强大的集成开发环境,应用程序的设计、调试、编译以及帮助的获得都可以在编译以及帮助的获得都可以在Visual BasicVisual Basic环境中环境中完成。完成。软面板设计软面板设计谢谢!
展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
提示  装配图网所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
关于本文
本文标题:智能仪器设计实例
链接地址:https://www.zhuangpeitu.com/article/208016100.html
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!