简易温度闭环控制系统设计论文

上传人:痛*** 文档编号:146356628 上传时间:2022-08-31 格式:DOC 页数:30 大小:656.01KB
收藏 版权申诉 举报 下载
简易温度闭环控制系统设计论文_第1页
第1页 / 共30页
简易温度闭环控制系统设计论文_第2页
第2页 / 共30页
简易温度闭环控制系统设计论文_第3页
第3页 / 共30页
资源描述:

《简易温度闭环控制系统设计论文》由会员分享,可在线阅读,更多相关《简易温度闭环控制系统设计论文(30页珍藏版)》请在装配图网上搜索。

1、摘 要2第1章 绪论3第2章 系统总体方案42.1简易温度控制系统的整体设计42.2简易温度控制系统的工作原理5第3章 系统硬件设计53.1 主控芯片介绍53.2 复位电路83.3 时钟电路93.4 直接编码输入键盘电路93.5 显示电路103.6 电平转换电路113.7 A/D转换电路123.8 测温电路13第4章 系统软件设计154.1 主程序模块164.2 LCD显示模块174.3 TLC1543模数转换模块20第5章温度闭环控制系统调试235.1 温度闭环控制系统静态调试235.2温度闭环控制系统动态调试235.3 温度控制系统调试情况23结论25参考文献26摘 要 设计了一种基于SS

2、T89E516RD单片机及热敏电阻TTC101的温度闭环控制系统。单片机由于其比较高的性价比,在工业控制中的应用非常广泛,而温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用温度传感器实现对温度的检测与控制得到更快的开发。该系统硬件方面主要分为测温电路、模数转换电路以及液晶显示电路三部分。利用热敏电阻和运放构成测温电路,通过TLC1543进行模数转换,最后用LCM1602B作为液晶屏进行温度显示。软件方面运用C语言进行编程,程序分为主程序、LCD1602显示程序、TLC1543模数转换程序。整个设计过程简单,使用方便,具有一定的开发价值。关键词:

3、 SST89E516RD;温度测量;液晶显示30第1章 绪论单片机技术作为计算机技术的一个重要分支,广泛应用于工业控制、智能化仪器仪表、家用电器,甚至电子玩具等各个领域,它具有体积小、功能多、价格低廉、使用方便、系统设计灵活等优点,因此具有非常大的应用空间。而作为信息获取的重要手段之一,传感器是将电子系统无法处理的外界物理量或者化学量转换为电信号的主要器件。对于测量与控制系统而言,传感器是构成对外界物理量或者化学量加以检测的前端器件。传感器是实现信息化的基础技术之一,在科学技术领域占有十分重要的地位。目前传感器已经广泛应用于国防、环保、工业、农业、交通运输、日常生活等所有领域,并伴随着现代科学

4、技术的进步而不断发展。本设计介绍了一种基于SST89E516RD单片机及热敏电阻TTC101的温度闭环控制系统,具有读数方便,测温范围广等优点,其温度通过液晶屏采用十进制数字显示,主要用于测量电路板温度。测温传感器使用热敏电阻TTC101,A/D转换电路采用TLC1543,用LCM1602B实现温度的显示。第2章 系统总体方案2.1简易温度控制系统的整体设计温度控制系统是由硬件和软件两部分组成。硬件部分主要由单片机SST89E516RD,负温度系数热敏电阻TTC101,TLC1543模数转换电路,LCM1602B液晶显示器,按键电路,串行通信电路组成。其硬件总体结构图如图2-1所示。热敏电阻(

5、TTC101)电压放大电路A/D转换SST89E516RD串行通信接口液晶显示器(LCM1602B)按键电路图2-1温度传感器结构图本设计主要由单片机、温度采集模块、温度显示模块和按键设定模块组成。本系统能实现温度实时测量,同时显示在1602液晶显示器上;能实现温度的设定;通过串行通信电路,将温度采集数据传送到PC机。2.2简易温度控制系统的工作原理热敏电阻和电压放大电路组成温度采集模块,它通过热敏电阻感应出电路的温度,然后由运放电路将热敏电阻两端的电压进行放大,放大后的电压模拟信号经模数转换后把十位二进制信号输入单片机,然后由单片机进行处理,最后送入1602液晶屏进行显示。同时由单片机控制温

6、度的设定。第3章 系统硬件设计3.1 主控芯片介绍本设计选用的主芯片为SST89E516RD,是SST公司生产的超高性价比、超高灵活性的一款单片机内核芯片,其主要特性如下: (1)兼容80C51系列,内置超级FLASH存储器。 (2)工作电压,5V工作电压时频率范围为040MHz. (3)与现行的80C52系列单片机硬件PIN-TO-PIN完全兼容,软件、开发工具也完全兼容。 (4)1K*8的内部RAM,可放心使用C语言编程。(5)两块超级FLASH EEPROM,分别为64K*8的基本存储块和8K*8的二级存储块(扇区大小为128字节)。 (6)独立的块加密。 (7)IAP下的并行操作。 (

7、8)块地址重映射。 (9)最大片外程序/数据地址空间为64K*8(也可以通过I/O口进行切换,实现超64K扩展)。 (10)三个搞电流驱动引脚(每个16mA,可直接驱动LED)。(11)三个16位定时器/计数器。(12)全双工增强型UART,具有帧错误检测及自动地址识别。(13)9个中断源,4个中断优先级。(14)看门狗定时器。且看门狗溢出时间可调(15)可编程计数阵列(PCA),标准为每个机器周期12个时钟周期,器件可选择在每个机器周期为6个时钟周期上加倍,掉电检测(Brow-out缺省为产生复位,也可以设置成产生中断)。(16)降低EMI模式(通过AUXR SFR部允许ALE输出时钟)。(

8、17)四个8位I/O口(32根输入输出线)。(18)双DPTR指针(查表,寻址更方便)。(19)SPI串行接口。(20)兼容TTL和CMOS(21)扩展省电模式。包括以下三种:Idle模式、由外部中断唤醒的省电模式、Standly模式。(22)温度范围:商业级为070摄氏度,工业级为-40+85摄氏度。 SST89E516RD的芯片封装有DIP和PLCC两种形式,在本设计中采用的是DIP双列直插式,其引脚排列图如图3-1所示 图3-1 SST89E516RD芯片引脚排列其引脚功能如下:Port 0:P0是一个漏极开路的8位双向I/O口。作为输出口,每位能驱动多个LS型TTL负载。P0浮空,锁存

9、器为“1”,可作为高阻抗输入。在访问外部存储器时,P0口作为低8位地址和数据总线时分复用。在这种应用中,当转为高电平是,它用了强大的内部上拉。在外部主模式编程状态下,P0接收代码字节,在外部主模式校验过程中输出代码字节。在程序校验过程中需要外部上拉。Port 1:P1是一个带内部上拉电阻的8位准双向I/O口。每位能驱动LS型TTL负载。当P1口作为输入口用时,向内部锁存器写入“1”,P1引脚被内部上拉电阻拉为高电平。由于内部上拉电阻,被拉低的P1引脚能向外部提供电流。P15,6,7有16mA的高电流驱动能力。当外部主模式在编程和测试时,P1也接收低8位地址。P1口的每个单独的引脚除了可作为I/

10、O引脚外,还具有第二I/O功能,但是在本设计中,仅作为一般I/O引脚使用。Port 2: P2口是一个带内部上拉电阻的8位准双向I/O端口,当被作为输入时,向它写入“1”,P2引脚被内部上拉电阻拉为高电平。作为输入使用时,被内部上拉电阻下拉为低电平的P2会产生电流。当从片外程序存储器取数和访问片外数据存储器时,P2能提供高8位地址。在此应用中,当转为时,它利用了功能极强的内部上拉电阻。当外部主模式在编程和测试时,它还接收控制信号和部分高8位地址。Port 3: P3口是一个带内部上拉电阻的8位准双向I/O端口。P3的输出缓冲功能驱动多个LS型TTL。当被作为输入时,向它写入“1”,P3引脚被内

11、不上啦电阻拉为高电平,作为输入使用时,被外部拉为低,能驱动电流。当外部主机在编程和校验时,它还能接收控制信号和部分高8位地址。P3口的每个单独的引脚除了可作为I/O引脚外,还具有第二I/O功能,在此设计中使用的是P3口的第二输入/输出功能。具体如下P3.0:RXD,串行数据接收;P3.1:TXD,串行数据发送;P3.2:INT0,外部中断0输入;P3.3: INT1,外部中断1输入;P3.4:T0,定时/计数器0的外部计数输入;P3.5:T1,定时/计数器1的外部计数输入;P3.6:WR,外部数据存储器写选通,低电平有效;P3.6:RD,外部数据存储器读选通,低电平有效;RST:复位输入,当振

12、荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。PSEN:低电平有效,外部程序存储器的选通信号

13、。在由外部程序存储器取指期间,每个机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。:当EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,EA将内部锁定为RESET;当EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。VDD:供电电压。VSS:接地。SST89E516RD的程序和数据存储空间分开独立寻址。程序存储器包括两个片内FLASH存储块。基本FL

14、ASH存储块(Block 0)占用64K字节,二级FLASH存储块(Block 1)占用 8K字节。因为整个内部程序空间被限制为64K字节,SFCF1:0位用于控制存储块的低8K之间的切换。当SFCF1:0为00时,由于Block 1覆盖了程序空间的低8K即0000H-1FFFH的地址空间,所以当PC下降到0000H-1FFFH时,指令将从Block 1读取而不是从Block 0读取。在0000H-1FFFH外,Block 0可用。Block 0被组织成512个扇区,每个扇区有128个字节组成。程序存储块切换是在复位后根据SC0位的状态来初始化的。SC0是根据外部主模式或IAP命令来编程的。一

15、旦退出复位,SFCF0能根据设计需要通过编程动态改变。改变SFCF0将不会改变SC0。在动态改变SFCF0时,必须小心谨慎,因为这将导致不同的物理存储器被映射到逻辑程序地址空间。使用者必须避免在空间0000H-1FFFH之间执行块切换命令。SST89E516RD具有1K片内数据存储器, 64K的外部数据存储器。1K的片内数据存储器包括:1RAM 的低128 字节(00H7FH)通过直接和间接寻址访问。 2RAM 的高128 字节(80HFFH)只能通过直接寻址访问。 3特殊功能寄存器(SFRS,80HFFH)只能通过直接寻址访问。4768 字节(00H2FFH)的内部扩展RAM通过清零 EXT

16、RAM 和外部传送指令(MOVX)来实现间接寻址。单片机SST89E516RD的大部分特性都是由特殊功能寄存器的相应位来控制的。本设计中主要用到的是与中断有关的寄存器。3.2 复位电路为确保两点间温度控制系统中电路稳定可靠工作,复位电路是必不可少的一部分,复位电路的第一功能是上电复位。一般电路正常工作需要供电电源为5V5%,即4.755.25V。复位是单片机的初始化操作,其目的是使CPU及各专用寄存器处于一个确定的初始状态。如:把PC的内容初始化为0000H,使单片机从0000H单元开始执行程序。除了进入系统的正常初始化之外,当单片机系统在运行出错或操作错误使系统处于死锁状态时,为摆脱困境,也

17、需要复位以使其恢复正常工作状态。RST端的外部复位电路有两种操作方式:上电自动复位和按键手动复位。按键手动复位有电平方式和脉冲方式两种,本系统设计采用脉冲式按键手动复位,如图3-2所示。 图3-2 复位电路按键手动复位电路中,未按下复位按钮时,5V电源对电容进行充电,芯片的RESET为低电平;当按下按钮时,电容进行放电,放电结束后,芯片的RESET引脚变成高电平;松开按键时,电容再次进行充电,使得芯片复位引脚缓慢变为低电平。3.3 时钟电路单片机的时钟信号用来提供单片机内各种微操作的时间基准,时钟电路用于产生单片机工作所需要的时钟信号。单片机的时钟信号用来提供单片机片内各种微操作的时间基准,时

18、钟信号通常用内部振荡或者外部振荡这两种电路形式得到:。本设计采用内部振荡方式,如图3-3所示。 图3-3 时钟振荡电路引脚XTALl和XTAL2分别是系统时钟信号的输入端和输出端,由于采用内部方式时,电路简单,所得的时钟信号比较稳定,实际使用中常采用这种方式。因为选用的是石英晶体振荡器,所以电容选择电容值为22pF的无极性电容。3.4 直接编码输入键盘电路通过检测单片机I/O口引脚电平状态来判别有无按键输入就构成直接输入键盘,如图3-4所示。之所以采用这种键盘电路形式,是因为在此设计中只有少量需要控制的系统。而直接编码输入键盘的接口电路简单,采用它可以简化电路。 图3-4 键盘电路在本设计中,

19、主芯片的P1口的四个引脚P1.0,P1.1,P1.2,P1.3分别与四个按键K1,K2,K3,K4相连接,且分别外接了10K的上拉电阻。四个按键在设计中作为设定闭环控制系统的温度时的控制按键,由于本次设计只需要通过按键进行数字加减,故实际应用是只用到K1,K2两个按键,按键K1作为加的按键,K2作为减的按键。3.5 显示电路在单片机应用系统中,对于系统的运行状态和运行结果,通常都需要直观交互显示出来。单片机应用系统中最常用的显示器有LED和LCD两种。这两种显示器都可以显示数字、字符及系统的状态,本设计采用的是更为环保的LCD显示器。字符型液晶显示模块是一种专门用于显示字母,数字,字符等点阵式

20、LCD,目前常用的有16x1,16x2,20x2,40x2等模块,本设计采用的是LCM1602B,即最多可显示1602即32个字符。1602字符型LCD通常有14条引脚线或16条引脚线的LCD,多出来的2条线是背光电源线。该模块也可以只用D4-D7作为四位数据分两次传送,这样的话可以节省CPU的I/O口资源。LCM1602B引脚图如图3-5所示 图3-5 1602引脚图其详细引脚功能如附录1所示。VSS,VCC分别接地和5V电源。V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。RS为寄存器选择,

21、高电平时选择数据寄存器、低电平时选择指令寄存器。R/W为读写信号线,高电平时进行读操作,低电平时进行写操作。E(或EN)端为使能(enable)端,下降沿使能。DB0DB7为双向数据总线。BLA为背光电源正极,BLK为背光电源负极。另外1602内置了DDRAM和CGROM。DDRAM就是显示数据RAM,用来寄存待显示的代码,共80个字节,其地址和屏幕的对应关系如表3-1 表3-1 DDRAM地址与显示位置的对应关系显示位置123456740DDRAM地址第一行00H01H02H03H04H05H06H27HDDRAM地址第二行40H41H42H43H44H45H46H67H就是说想要在LCD1

22、602屏幕的第一行第一列显示一个“A”字,就要向DDRAM的00H地址写入“A”字的代码41H就行了。但具体的写入是要按LCD模块的指令格式进行的。而41H这一个字符的代码要在屏幕的阵点上显示“A”字,就需要用到LCD模块的固化了的字模存储器,这就是CGROM,1602内置了192个常用字符的字模,存于CGROM中。本设计中1602用来显示当前温度和所设定的温度。其中第一行用来显示当前温度,第二行用来显示想要设定的温度。它与主芯片的连接如图3-6 图3-6 1602与SST89E516RD的连接图 此设计中将1602的RS,R/W,E分别与主芯片的P2.0,P2.1,P2.2相接,则可以通过控

23、制P2口这三个引脚的高低电平来控制1602的状态。而DB0-DB7与主芯片的P0口相连接,在主芯片对1602进行写入时,DB0-DB7先作为地址总线进行地址的传送,控制1602的被写入的位置,然后再作为数据线,传送需要显示的数据的相应的字符代码。3.6 电平转换电路当单片机与PC机进行串行通信时,一般都需要一个电平转换电路,因为PC机所采用的是EIA电平,与PC机相连的串口RS232也是采用EIA电平,即传送数字“1”时,传输线上的电平在-3V-15V之间;传送数字“0”时,传输线上的电平在+3V+15V之间。但是单片机串行口采用正逻辑的TTL电平,其高电平为+5V,低电平为0V。这样就存在T

24、TL电平与EIA电平之间的转换问题。通常这个电路都选择专用的RS232接口电平转换集成电路进行设计,如MAX232、HIN232等。MAX232芯片集成度高,单+5V电源工作,只需要外接四个小容量的电容即可完成两路RS232与TTL电平之间的转换。故在本设计中采用MAX232与计算机串口RS232相连接,作为电平转换电路。MAX232有两个接收器和两个发送器,每一个接收器将EIA电平转换成5V的TTL电平,每一个发送器将TTL电平转换成EIA电平,在本设计中只用到了接收器2和收发器2,其具体电路如图3-7所示 图3-7 电平转换电路其中TXD为主芯片SST89E516RD的串行数据发送端,与M

25、AX232的T2IN连接,当主芯片发送出来的TTL电平的数据由T2IN进入,经过MAX232的作用,从T2OUT输出相应的EIA电平的数据,此数据再经过计算机串口RS232到达PC机,从而完成由单片机到PC机的数据传送。而RXD为SST89E516RD的串行数据接收端,与MAX232的R2OUT相连,当由PC机发送过来的EIA电平的数据由串口RS232的3脚R2IN进入MAX232,在其内部完成由EIA到TTL电平的转换,最后由R2OUT输出相应的TTL电平的数据,将数据送入主芯片SST89E516RD的串行数据接收端RXD那里,从而完成了由PC机到单片机的数据传送。3.7 A/D转换电路由于

26、测温电路所输出的信号为电压模拟信号,而主芯片SST89E516RD本身只能处理二进制或者十六进制的数字信号,因此,要通过SST89E516RD来控制测温系统正常工作,必须先将需要让主芯片进行处理和控制的模拟信号转换为可被主芯片处理的数字信号。因此,在测温电路与主芯片之间,必须增加一个A/D转换的电路来进行模数信号的转换。A/D转换电路种类很多,在选择模数转换器时,主要考虑以下几个技术指标:转换时间、转换频率、误差、分辨率、转换精度、接口形式。目前,较为流行的A/D转换器件有很多都采用串行接口 ,这使得芯片与单片机的硬件连接非常简单,而软件编程相对要复杂些。综合考虑本设计所需A/D转换器的性能要

27、求和价格问题,在本设计中选用了性价比相对较高的TLC1543作为模数转换芯片。该芯片有如下特点:10位精度、11通道、3种内建的自测模式、提供EOC(转换完成)信号等。该芯片与单片机的接口采用串行接口方式,引线很少,与单片机连接简单。其中A0-A10是11路输入,VCC和GND分别是电源引脚,REF+和REF-分别是参考电源的正负引脚,使用时一般将REF-接到系统的地,达到一点接地的要求,以减少干扰,在本设计中,将REF+设置为电压可调,并通过外接一个三端可调分流基准电压源TL431来设置REF+的电压值。其余的引脚是TLC1543与单片机主芯片的接口,其中CS为片选端,低电平有效,CLK是芯

28、片的时钟端,ADD是地址选择端,DAT是数据输出端。本设计中这4根引脚分别接到主芯片的P2.3,P2.4,P2.5,P2.6这四个I/O端。EOC接到P2.7,当它为低电平时,表示一次A/D转换已经完成,CPU可以读取数据了。在程序中CPU通过查询该引脚的状态来了解当前的状态。其在本设计中的具体电路如图3-8所示 图3-8 模数转换电路右边电路中是由TL431加滑动变阻器构成的稳压基准,温漂小,又有相当的负载能力,且输出电压可调,电路简单,且使得REF+的设置更加灵活。而TLC1543的A0脚与测温电路的输出端相连接,测温电路输出的模拟电压信号由A0脚进入TLC1543进行模数转换,转换出的相

29、应的十位二进制数字信号从DAT引脚串行输出,由于P2.4与DAT引脚相连,故可将输出的数字信号被送入CPU进行处理。3.8 测温电路测量温度所采用的元器件主要是热敏电阻,之所以采用热敏电阻是由于它具有一下几个特点:热敏电阻的主要特点是:(1)灵敏度较高,其电阻温度系数要比金属大10100倍以上;(2)工作温度范围宽,常温器件适用于-55315,高温器件适用温度高于315(目前最高可达到2000),低温器件适用于-27355;(3)体积小;(4)使用方便,电阻值可在0.1100k间任意选择;(5)稳定性好、过载能力强。在本设计中采用TTC101,即负温度系数热敏电阻(NTC)进行温度感应,NTC

30、是指随温度上升电阻呈指数关系减小、具有负温度系数的热敏电阻和材料。热敏电阻的电阻温度特性可近似地用下式表示: ; :温度时的电阻值;:温度时的电阻值;:值,实际上,热敏电阻的B值并非是恒定的,其变化大小因材料构成而异,本设计中的TTC101在25摄氏度下阻值为100欧姆,B值为3200;其中为热力学温度,单位是K,而是摄氏温度,单位是;具体的测温电路如图3-9所示 图3-9 温度闭环控制电路图中R10即为负温度系数热敏电阻TTC101,而R8为15欧姆的加热电阻,测温电路的输入端与主芯片的P1.4口相接,当对P1.4写入0即写入低电平时,测温电路的三极管Q1导通,加热电阻R8有电流流过,温度升

31、高,而另一支路中R9、R10中也有电流流过,热敏电阻R10感应到温度的变化,其电阻值相应的发生变化,从而引起其两端电压也发生变化,由于一般传感器感应出来的电量变化都相对较小,不适合直接作为后续电路的输入信号,因此在后面又接上通过运放电路和稳压电路,最终实现了电压的放大和稳定输出。运放电路的放大倍数可以通过滑动变阻器R12来进行调节,不过一般 为了计算方便,将R12调至1K阻值,即使R12与R11电压相同。限流电阻R13的后面接2.5V的稳压二极管,当稳压管两端电压大于2.5V时,输出电压为2.5V;当稳压管两端电压小于2.5V时,输出电压为实际电压值。输出端电压经过A/D转换电路最终送入CPU

32、进行处理。当设定好了温度,需要对测温电路的温度进行调节时,可以通过对主芯片的P1.4进行高低电平操作来控制发热电阻是否有电流流过,从而控制电路温度的升高或者降低。本设计在后期修改了此图,将R9改为120欧姆的电阻,同时将热敏电阻两端的电压直接接入TLC1543中进行模数转换。且将REF+设为+5V。其具体推到公式如下 (1)为热敏电阻温度为阻值将上面这些值带入公式(1)中可得 (2)其中V为A/D转换前的电压即热敏电阻两端的电压;通过推到求的 (3)后面编程时就是用公式(3)来进行温度与电压间的转换的。第4章 系统软件设计整个系统的功能是由硬件电路与软件相结合来实现的,当硬件基本定型的时候软件

33、也基本定下来了,从软件的功能不同,可以分为两大类:一是主程序,它是整个软件的核心,专门用来协调各个执行模块和操作者的联系;二是子程序,它是用来完成各种实质性的工作的,如测量、计算、显示、通讯等。每一个执行软件就是一个小的执行模块,这里将每一个模块一一列出来,并为每个执行模块进行功能定义和接口定义。各执行模块规划好以后,就可以规划监控软件了。首先要根据系统的总体功能选择一种最合适的主程序结构,然后根据实时性的条件,合理安排监控软件和执行软件之间的调度关系。本系统软件设计主要包括主程序以及各个模块程序:温度采集模块、温度显示模块、按键设定模块和通信模块等,以及扩展功能的若干个控制子程序。主程序分别

34、调用这些子程序实现对液晶显示器、A/D转换和PC机通信的操作控制。4.1 主程序模块 主程序主要完成硬件初始化,子程序调用等功能,其具体流程图如图4-1所示开始初始化数据采集并进行A/D转换CPU计算并得到温度值输入值大于设定值进行温度调节程序启动控制系统结束显示当前温度值NY 图4-1主程序流程图在实际编程中,由于实力有限,并未编写温度调节程序,因此在主程序中,也没有PWM调制的程序,而是直接给P1.4口赋值为0,即给P1.4低电平。主程序函数如下#include #include lcd.hsbit P1_4=P14;void main (void) double AD_RES, temp

35、; P1_4=0; /使三极管导通,从而使R8发热 init_LCD( ); /初始化 clear_LCD( ); /清屏 display_LCD_string(AD RESULT:); /第一行固定显示AD RESULT gotoxy(2,1); / 光标定位到第二行 display_LCD_string(temp:); / 在第二行固定显示temp while(1) /* 无穷循环 */ AD_RES = ADCSelChannel(0);/AD_RES为经过模数转换后的十位二进制数值 gotoxy(1,11); display_LCD_number(AD_RES);/显示热敏电阻的两端的

36、模拟电压值 temp = temperature(AD_RES);/temp为热敏电阻测得的温度值 gotoxy(2,6); display_LCD_number1(temp);/显示温度值 delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200); delay100us(200);

37、delay100us(200); delay100us(200); delay100us(200);4.2 LCD显示模块LCD1602的显示是编程中非常重要的一部分,其具体流程图如图4-2所示入口初始化1602延时检测忙信号LCD_BUSY=0?获得显示RAM地址延时写入相应的数据数据显示完毕返回主程序NYNY 图4-2 LCD1602液晶显示流程图LCD液晶显示子程序如下 #include #include #include char code int2charLCD=0123456789;void write_LCD_command(unsigned command) /写指令子程序 r

38、w=WRITE; /write为0,向LCD写入指令 rs=COMMAND; /COMMAND为0,输入指令enable=ENABLE; /ENABLE为1,读取信息P0=command; delay100us(20);enable=DISABLE; /DISENABLE为0,下降沿执行指令rw=1; /从LCD读取信息void write_LCD_data(unsigned LCDdata) /写数据子程序rw=WRITE;rs=DATA; /DATA为1,输入数据enable=ENABLE; /ENABLE为1,读取信息P0=LCDdata;delay100us(20);enable=DI

39、SABLE; rw=1;void init_LCD(void) /初始化write_LCD_command(TwoLine_8bit); / 0x38 write_LCD_command(CURSOR_OFF);/ 0x0Cwrite_LCD_command(CURSOR_RIGHT); / 0x06void clear_LCD( ) /清屏write_LCD_command(CLEAR);write_LCD_command(CURSOR_HOME);void display_LCD_string(char *p) /显示字符串while(*p)write_LCD_data(*p);p+;vo

40、id display_LCD_number(double number) /显示AD转换前的电压值unsigned char x,y,z;x = (int)(number*5/1024);y = (int)(number*5/1024*10)%10;z = (int)(number*5/1024*100)%10;write_LCD_data(int2charLCDx);display_LCD_string(.);write_LCD_data(int2charLCDy);write_LCD_data(int2charLCDz);void gotoxy(unsigned x,unsigned y)

41、 /光标定位子程序if(x=1) write_LCD_command(GOTO_LINE_1+y);else write_LCD_command(GOTO_LINE_2+y);double temperature(double num) /计算温度值double t,v;v = num*5/1024;t = 1 / (1 / 298.15 + log(1.2*v/(5-v)/3200) -273.15;return t;void display_LCD_number1(double number) / 显示温度值unsigned char x,y,z;x=(int)(number/10);y=

42、(int)(number-10*x);z=(int)(number*10/10);write_LCD_data(int2charLCDx);write_LCD_data(int2charLCDy);display_LCD_string(.); write_LCD_data(int2charLCDz);4.3 TLC1543模数转换模块TLC1543是一个模数转换芯片,它能将输入其中的模拟电压转换成是为二进制数值,本设计中将热敏电阻两端的电压直接作为TLC1543的单路输入值,从0通道输入,取REF+为5V,取REF-为0V接地。具体程序如下#define Wait1us _nop_();#de

43、fine Wait2us _nop_();_nop_();#define Wait4us Wait2us;Wait2us;#define Wait8us Wait4us;Wait4us;#define Wait10us Wait8us;Wait2us;#include INTRINS.H#include /*定义接口总线*/sbit Clock = P26; /时钟口线CLKsbit DataIn = P25; /数据输入口线ADDsbit DataOut = P24; /数据输出口线DATsbit ChipSelect = P23; /片选口线CS,低电平选通sbit EOC = P27;

44、/转换完成口线/*接口总线定义结束*/unsigned int ADCSelChannel(unsigned char Channel) /转换指定通道的模拟量为数字量 unsigned int ConvertValue; unsigned char i, Chan; unsigned char ConvertValueL, ConvertValueH; unsigned char delay; ConvertValueL = ConvertValueH = 0; /初始化转换结果 delay = 0; if (EOC) Clock = 0; ChipSelect = 1; Wait2us;

45、ChipSelect = 0; Wait2us; Channel = Channel 4; for (i = 0; i 7; DataIn = (bit)Chan; Wait2us; Clock = 1; Clock = 0; Channel = Channel 1; for (i = 0; i 6;i +) /输入转换时钟 Clock = 1; Clock = 0; ChipSelect = 1; /开始检测转换结束标志,或者转换超时出错 while (!EOC) & (delay 10) Wait10us; delay +; if (delay = 10) return (0xFFFF);

46、 /转换超时,返回错误代码 else Wait10us; Clock = 0; ChipSelect = 1; Wait1us; ChipSelect = 0; Wait1us; for (i = 0; i 2; i +) /读取高二位bit值 Clock = 1; DataOut = 1; ConvertValueH = 1; if (DataOut) ConvertValueH |= 0x1; Clock = 0; Wait1us; for (i = 0; i 8; i +) /读取低八位bit值 Clock = 1; DataOut = 1; ConvertValueL = 1; if

47、(DataOut) ConvertValueL |= 0x1; Clock = 0; Wait1us; ChipSelect=1; ConvertValue = ConvertValueH; ConvertValue = 8; ConvertValue |= ConvertValueL; return (ConvertValue); /返回转换结果 第5章 温度闭环控制系统调试系统调试是对所设计的系统进行硬件调试,软件调试以及综合调试。系统调试可分静态调试和动态调试两步进行。5.1 温度闭环控制系统静态调试静态调试是在用户系统未工作时的一种硬件检查。在硬件的检查过程中,一般分三个步骤:一,目测

48、。目测就是通过自己先观察硬件的外表。如焊点是否虚焊,是否与其他焊点粘连,看导线是否有破损等。按照设计的电路图进行检查,看是否出现线路接错的地方。二,用万用表进行测试。用万用表对每一个连接点进行测试,看其导通状态与设计要求是否符合。三,上电检查。给硬件上电,测试是否所有的电路都是符合设计要求的。在对各芯片、器件上电过程中,还要注意观察芯片是否出现、火花、过热、变色、冒烟、异味等现象,如出现这些现象,应立即断电,仔细检查电源加载等情况,找出产生异味的原因并加以解决。5.2温度闭环控制系统动态调试动态调试是在用户系统中的工作的情况下发现和排除系统硬件中存在的故障、器件间连接逻辑错误等的一种硬件检查。

49、由于单片机及应用系统的硬件动态调试是在开发系统的支持下完成的,故又称为联机仿真或联机调试。动态调试的一般方法是由近及远、有分到合。由分到合指的是,首先按逻辑功能将用户系统硬件电路分为若干块,如程序储器电路、AD转换电路等,先分块调试。当调试某块电路时,与该电路无关的器件全部从用户系统中去掉,这样,可将故障范围限定在某个局部电路上。当各块电路的调试无故障后,将各块电路逐块加入系统中,再对各块电路功能及各电路间可能存在的相互联系进行试验。此时若出现故障,则最大可能是出现在各电路的协调关系上,如交互信息的联络是否正确,时序是否达到技术要求等。直到所有电路加入系统后各部分电路能正确工作为止,由分到合的

50、调试即告完成。在经历了这样一个调试过程后,大部分硬件故障基本上可以排除。在有些情况下,由于功能要求高或设备较复杂使某些逻辑功能块电路较为复杂庞大,为故障的准确定位带来一定的难度。这时对每块电路以处理信号的流向为线索,将信号流经的各器件按照距离单片机的逻辑距离进行有近及远的分层,然后分层调试,调试时仍采用去掉无关器件的方法,这样逐次依次调试下去,就可能将故障定位在具体器件上。例如,调试外部数据存储器时,可按层先调试总线电路,然后调试译码电路,最后加上存储芯片,利用开发系统对其进行读写操作,就能有效的调试数据存储器。5.3 温度控制系统调试情况(1)出现漏焊的情况,按照设计电路图进行补焊。(2)接

51、通电源后发现液晶未亮,检查电路发现有飞线没有接。连接号飞线后,电路正常。(3)在电路检查中,由于发现可用资源有限,同时有些电路是可以简化或者省略的,故进行了适当的改动。主要改动有:(1)将热敏电阻输出的电压直接送入TLC1543芯片中进行模数转换,省略掉了运放OP07,以及后面的2.5V稳压二极管。原因是OP07需要接正负12V的双电源进行工作,这样才能比较稳定。而设计中由于缺少正负12V的电源,且如果将10K的电阻R9换成阻值较小的120欧姆的电阻,同样可以使热敏电阻分压更大,而无需通过OP07进行电压放大。综合考虑实际情况,省略了运放及稳压二极管。(2)对TLC1543的参考电压REF+进

52、行了修改。最初设计电路时,考虑到转换精度问题,将参考电压REF+的值通过电压基准电路设计为电压值可调,而在实际编程过程中,发现这样设计的电路比较复杂,且需要在调节了REF+的电压值后进行手动测量以确保其稳定性和精确性,而本设计所设计的知识一个简易温度测试系统,故省略掉了REF+的调节电路,直接将其接到+5V。(4)程序烧入芯片后,发现液晶屏虽然亮了,但是显示不出字符,当调节了1602的第三个引脚V0所接的滑动变阻器后,发现能显示出字符。这说明对比度的调整也是非常重要的。结论在此次课程设计中,主要是以SST89E516单片机为核心,对温度的检测与显示进行了简单的设计与阐述。本次设计可以说是软硬结

53、合,又以硬件为主。当今科技发展迅速,单片机嵌入式开发有着光明的前景。由于单片机经济实用、开发简便等特点,依然在工业控制、家电等领域占据了广泛的市场。所以我选择这样的课程设计课题,并且能通过此次设计来提高自己软件编制和硬件电路设计的能力。本文是讨论设计基于SST89E516RD单片机的温度控制系统。首先在硬件方面主要以SST89E516RD单片机和TTC101热敏电阻为主。以实现单路温度数据实时采集和监控为主要功能,通过LCM1602B液晶显示器进行温度实时显示。本文着重介绍了SST89E516RD和LCM1602B,也是因为其应用十分广泛,且性价比很高。在我完成这次课程设计的过程中,当看到自己

54、将专业知识用于解决实际的问题时,那份成就感和喜悦感是难以形容的。但是,在实际的编程以及调试程序过程中,我发现自己应该学的太多太多。光靠自己在书本上所学过的这点知识是远远不够的,真正地认识到了工作就是学习的道理。由于我以前对C语言编程没有认真钻研过,所以感觉课程设计的编程任务十分紧迫。通过对本系统的设计,我学习到了硬件开发和软件开发的基本流程并有了一定的驾御此开发过程的能力。编程的过程中,虽然不乏辛苦,但更多的是程序调试成功后的喜悦。这个设计是我的第一次设计工作,在这个4个月的设计过程中,从刚刚开始的无从下手,到现在能基本实现功能,其实是一个很漫长的过程。本设计实现的功能有限,同时由于本人水平有

55、限,设计中难免会出现错误,希望各位老师给予批评和指导!总之,这次课程设计对我来说是一次比较全面的、富有创造性和探索性的锻炼,对于我今后的学习、工作和生活都将是受益非浅的!参考文献【1】张毅坤等.单片微型计算机原理及应用.西安:西安电子科技大学出版社,2004.【2】蔡美琴等MCS-51系列单片机系统及其应用.北京:高等教育出版社,1999.【3】潘永雄.新编单片机原理与应用.西安:西安电子科技大学出版社,2007.【4】王静霞.单片机应用技术.北京:电子工业出版社,2009.【5】何立民.单片机高等教程.北京:北京航空航天大学出版社,2000.【6】雄建云. Protel 99 SE EDA技

56、术及应用.北京:北京机械工业出版社,2007.【7】华永平,陈松.电子线路课程设计.南京:东南大学出版社,2002.【8】林春方电子线路学习指导与实训.北京:北京电子工业出版社,2004.【9】杨宝清,宋文贵.实用电路手册.北京:机械工业出版社, 2002.【10】张存礼,韩爱娟.电子技术综合实训.北京:北京师范大学出版社.2009.【11】杨世兴,郭秀才.监测监控系统.北京:中国电力出版社,2007.【12】丁镇生传感器及传感技术应用北京:电子工业出版社,2002.【13】沙占友.智能化集成温度传感器原理与应用.北京:机械工业出版社, 2002.【14】李 鸿单片机原理及应用长沙:湖南大学出版社2004【15】黄惠媛,李润国.单片机原理与接口技术.北京:海洋出版社,2006.【16】付家才.单片机控制工程实践技术.北京:化学工业出版社,2004. 【17】张迎新等.单片微型计算机原理、应用及接口技术.北京:国防工业出版社,2005. 附录1 1602的引脚功能引脚符号功能说明1VSS一般接地2VDD接电源(+5V)3V0液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。4RSRS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!