半导体词汇缩写表

上传人:回**** 文档编号:123424630 上传时间:2022-07-22 格式:DOC 页数:31 大小:937.50KB
收藏 版权申诉 举报 下载
半导体词汇缩写表_第1页
第1页 / 共31页
半导体词汇缩写表_第2页
第2页 / 共31页
半导体词汇缩写表_第3页
第3页 / 共31页
资源描述:

《半导体词汇缩写表》由会员分享,可在线阅读,更多相关《半导体词汇缩写表(31页珍藏版)》请在装配图网上搜索。

1、半导体词汇缩写表A/Danalog to digitalAAatomic absorptionAASatomic absorption spectroscopyABCactivity-based costingABMactivity-based managementACalternating current; activated carbonACFanisotropic conductive filmACIafter-clean inspectionACPanisotropic conductive pasteACTalternative control techniques; actual

2、cycle timeADCanalog-to-digital converterADEadvanced development environmentADIafter-develop inspectionADTapplied diagnostic techniqueADTSEMApply/Develop Track Specific Equipment ModelAEatomic emission; acousticemission; absolute ellipsometryAECadvanced equipment controllerAECSAdvanced Equipment Cont

3、rolSystem; Automated Equipment Control SystemAEIafter-etch inspection; automated equipment interfaceAEManalytical electron microscopyAESAuger emission/electron spectroscopyAFMatomic force microscopyAFPabrasive-free polishAgsilverA-GEMTFAdvanced GEM Task ForceAGVautomated guided vehicleAHFanhydrous h

4、ydrogen fluorideAHUair handling unitAIRautomated image retrievalAlaluminumALDatomic layer depositionALEatomic layer epitaxy; application logic elementALSadvanced light source; advanced low-power SchottkyAMCairborne molecular contaminationAMHSautomated material handling systemAMTadvanced manufacturin

5、g technologyAMUatomic mass unitANNartificial neural networkANOVAanalysis of varianceAOVair-operated valveAPadhesion promoterAPAadvanced performance algorithmAPCadvanced process controlAPCDadd-on pollution control deviceAPCFIAdvanced Process Control Framework InitiativeAPCVDatmospheric pressure chemi

6、cal vapor depositionAPECadvanced process equipment controlAPIapplication programming interface; atmospheric pressure ionizationAPMatmospheric passivation module; acoustic plate modeAPRDLAdvanced Products Research and Development LaboratoryaPSMattenuating phase-shift maskAQIACCESS query interfaceAQLa

7、cceptable quality levelArargonARaspect ratioARAMSAutomated ReliabilityARCantireflective coatingARDEaspect ratio-dependent etchingARPAAdvanced Research Projects Agency (see DARPA)ARSangle-resolved scatteringAsarsenicAS/RSautomated storage and retrieval systemASAPAdvanced Stepper Application ProgramAS

8、ICapplication-specific integrated circuitASOautomatic shutoffASPadvanced strip and passivation; advanced strip processorASRautomated send receiveATDFAdvanced ToolDevelopment FacilityATEautomatic test equipmentATGautomatic test generationATLASabbreviated test language for all systemsatmatmosphereATPa

9、dvanced technology program; adenosine triphosphate; acceptance and tool performanceATRattenuated total reflectanceAttattenuatedAugoldAVPadvanced vertical processorAVSadvanced visualization systemAWEasymptotic waveform evaluationAWISPMabove wafer in situ particle monitoringAWSadvanced wet stationBbil

10、lion; boronBabariumBARCbottom antireflective coatingBASEBoston Area Semiconductor Education (Council)BAWbulk acoustic waveBCbias contrastBDEVbehavior-level deviationBDSBrownian Dynamics SimulationBeberylliumBEOLback end of lineBESOIbonded and etchback silicon on insulatorBFbrightfieldBFGSBroyden-Fle

11、tcher- Goldfarb-Shanno optimization algorithmBFLbuffered field-effect transistor logicBGAball grid arrayBHTBrinell hardness testBibismuthBiCMOSbipolar complementary metal-oxide semiconductorBIFETbipolar field-effect transistorBIMbinary intensity maskBiMOSbipolar metal-oxide semiconductorBISTbuilt-in

12、 self-testBITbulk ion temperatureBITEbuilt-in test equipmentBMCbubble memory controllerBMDbulk micro defectBOEbuffered oxide etchantBORbottom of rangeBOSSBook of SEMI Standards; binary object storage systemBOXburied oxideBPRbeam profile reflectometry; business process reengineeringBPSGboron phosphos

13、ilicate glassBPTEOSBPSG from a TEOS sourceBrbromineBSEbackscattered electron detectionBTABbumped tape automated bondingBVbreakdown voltageCcarbonCacalciumCACIM architectureCAACIM applications architectureCABCompetitive Analysis BenchmarkingCADcomputer-aided designCADTcontrol application development

14、toolCAEcomputer-aided engineeringCAIcomputer-assisted instructionCAMcomputer-aided manufacturingCAPScomputer-assisted problem solvingCARchemically amplified resistCARRIComputerized Assessment of Relative Risk ImpactsCASEcomputer-aided softwareengineering; computer-aided systems engineeringCATcompute

15、r-aided testingCAWConstruction Analysis WorkgroupCAWCcryogenic aerosol wafer cleaningCBGAceramic ball grid arrayCBSchemical bottle storage areaCBTcomputer-based trainingCCchip carrier; cluster controllerCCCceramic chip carrierCCDcharge-coupled deviceCCSLcompatible current-sinking logicCCWcountercloc

16、kwiseCdcadmiumCDcritical dimensionCD/OLcritical dimension overlayCDAclean dry airCDEchemical downstream etchCDEMCustomer Delivery Enterprise ModelCDIcollector-diffusion isolationCDMCommon Device Model for SABCDOcontrolled decomposition/oxidationCDRchemical distribution roomCDSchemical distribution s

17、ystemCeceriumCEcapillary electrophoresisCECcell evaluation chipCEEcontrol execution environmentCEMcontinuous emissions monitoringCER-DIPceramic dual in-line packageCFAcomponent failure analysisCFCchlorofluorocarbonCFDcomputational fluid dynamicsCFMcontamination-free manufacturingCICcleanroom interfa

18、ce chamberCIDcharge-injection deviceCIEcomputer-integrated engineeringCIMcomputer-integrated manufacturingCIM-OSAcomputer-integrated manufacturing-open systems architecture (ESPRIT program)CIPContinuous Improvement ProgramCISCenter for Integrated SystemsCISCcomplex instruction set computerClchlorine

19、CLCCceramic leaded chip carrierCLICclosed-loop intensity controlCMconfiguration management; cassette moduleCMCcassette module controllerCMLcurrent mode logicCMMcapability maturity modelCMOScomplementary metal-oxide semiconductorCMPchemical mechanical planarizationCMRcommon-mode rejection ratio; canc

20、el move requestCNCcomputer numerical control; condensation nucleus counterCNTcarbon nanotubeCocobaltCOBchip-on-boardCOCcost of consumablesCODECcoder-decoderCOEDcomputer-optimized experimental designCOGScost of goods soldCoOcost of ownershipCORBAcommon object request broker architectureCOREcomposite

21、object referenceCOSScommon object services specificationCOTcustomer-owned toolingCoVcoefficient of varianceCpprocess capabilityCPDconcurrent product developmentCPECommunicationsCPGAceramic pin grid arrayCpkprocess capability indexCQFPceramic quad flat packCQNclosed-queuing networkCrchromiumCRCcyclic

22、 redundancy checkCRMCost/Resource ModelCscesiumCSACIM systems architectureCSEcontrol systems engineeringCSFcritical success factorCSLcurrent-steering logicCSMA/CDcarrier-senseCSPchip-scale packageCSPEDconcurrent semiconductor production and equipment developmentCSTCIM systems technologyCSTRcontinuou

23、sly stirred tank reactorCSVcomma-separated variableCTCcluster tool controllerCTEcoefficient of thermal expansionCTIcycle time improvementCTMCcluster tool modular communicationsCucopperCUBcentral utility buildingCUBEScapacity utilization bottleneck efficiency systemCUIcommon user interfaceCUSUMcumula

24、tive sumCVcapacitance-to-voltageCVCMcollected volatile condensable materialsCVDchemical vapor depositionCWcontinuous waveCzCzochralski processD/Adigital to analogD/Bdie bondingDACdigital-to-analog converterDASdirect absorption spectroscopyDASSLdifferential algebraic system solverDBMSdatabase managem

25、ent systemDCdirect currentDCAdirect chip attachmentDCATSdouble-contained acid transfer systemDCEdistributed computer environmentDCLdigital command language; display communication logDCSdichlorosilaneDDLdevice description languageDDMSdefect data management systemDEDSdiscrete-event dynamic simulationD

26、ESdata encryption standard; display equipment statusDFdarkfieldDFCdensified fluid cleanDFEdual-frequency etchDFMdesign for manufacturingDFRdesign for reliabilityDFTdesign for testDFYdesign for yieldDHFdilute hydrofluoric acidDIdeionized; dielectric isolationDIBLdrain-induced barrier leakageDICdiffer

27、ential interference contrastDILdual in-lineDIPdual in-line packageDLBIdevice-level burn-inDLOCdeveloped source lines of codeDLSdisplay lot statusDLTdevice-level testDLTSdeep-level transient spectroscopyDMAdirect memory access; dynamic mechanical analysisDMHdisplay message helpsDMLdata manipulation l

28、anguage; display message logDMMdigital multimeterDMOSdiffused metal-oxide semiconductorDMRdisplay move requestsDOdynamic optimizationDOAdead-on alignmentDOASdifferential optical absorption spectroscopyDOEdesign of experimentsDOFdepth of focusDOPdioctylphthalateDPAdestructive physical analysisDPMdigi

29、tal panel meterDPPdischarge-produced plasmaDPSRAMdual-port static random access memoryDRAMdynamic random access memoryDRAPACDesign Rule and Process Architecture CouncilDRCdesign rule checkDREdestruction removal efficiencyDRIFTSdiffuse reflectance infrared Fourier transform spectroscopyDRTdefect revi

30、ew toolDSAdisplay system activity; dimensionally stable anodeDSCdifferential scanning calorimetryDSMCdirect simulation Monte CarloDSQdownstream quartzDSSdisplay stocker statusDSWdirect step-on-waferDTdynamic testDTAdifferential thermal analysisDTCdirect thermocouple controlDTLdiode transistor logicD

31、TMdefect test monitor; delay time multiplier; device test module; digital terrain mapDTMPNdefect test monitor phase numberDUTdevice under testDUVdeep ultravioletDVdesign verificationDVERdesign rule verificationDVMdigital voltmeterDVSdisplay vehicle statusDWGdomain work groupEAPSMembedded attenuated

32、phase-shift maskEAROMelectrically alterable read-only memoryEASEequipment and software emulatore-beamelectron beamEBHTelectron-beam high-throughput lithographyEBICelectron beam-induced currentEBRedge bead removalECengineering change; equipment controllerECAengineering capability assessmentECADelectr

33、onic computer-aided design; engineering computer-aided designECAEelectronic computer-aided engineeringECLemitter coupled logicECNengineering change noticeECOengineering change orderECQBelectrochemical quartz crystal balanceECRelectron cyclotron resonanceEDAelectronic design automationEDSenergy-dispe

34、rsive spectroscopyEDUequipment-dependent uptimeEDXenergy-dispersive X-rayEDXAenergy-dispersive X-ray analysisEEDFelectron energy distribution functionEELSelectron energy-loss spectroscopyEEPROMelectrically erasable programmable read-only memoryEFEMequipment front-end moduleEFOCSevanescent fiber-opti

35、c chemical sensorEFTIRemission Fourier transform infrared spectroscopyEFVexcess flow valveEGEethylene glycol ethersEHSextremely hazardous substanceEIequipment integrationEIDEquipment InterfaceDevelopmentEIPEquipment Improvement Program; Equipment Improvement ProjectEISelectrochemical impedance spect

36、roscopyEKFextended Kalman filterELFextremely low frequencyEMenterprise model; electromagnetic; electromigrationEMAequipment maturity assessmentEMCelectromagnetic capability; electromagnetic compatabilityEMFelectromagnetic fieldEMGelectromigrationEMIelectromagnetic interferenceEMMAelectron microscopy

37、 and microanalysisEMPelectromagnetic pulseEMRenter move requestEMUelectromagnetic unitEOSelectrical overstressEOTend of transfer; equivalent oxide thicknessEPextreme pressure; electropolishEPLelectron projection lithographyEPRelectron paramagnetic resonanceEPROMelectrically programmable read-only me

38、moryEPSSelectronic performance support systemEPTequipment performance trackingEQUIPC/I equipment control and integrationEQUIPRTC equipment real-time controlERAMequipment reliabilityERMenterprise reference modelERNexternal recurrent neural networkERPextended range pyrometerERSevent reporting standard

39、ERTemergency response timeESengineering specification; expert systemESCelectrostatic chuckESCAelectron spectroscopy for chemical analysisESDelectrostatic dischargeESHenvironmentESMelectronic service manualETABExecutive Technical Advisory BoardETQRExternal Total Quality and ReliabilityEUVextreme ultr

40、avioleteVelectron voltEWMAexponentially weighted moving averageFfluorineF/Ifinal inspectionFAfailure analysisFABfast atom bombardmentFAMOSfloating-gate avalanche-injection metal-oxide semiconductorFBGAfine-pitch ball grid arrayFCflip chipFCMfacilities cost modelFCSfactory control systemFDCfault dete

41、ction and classificationFDEfrequency domain experimentsFDSOIfully depleted silicon on insulatorFeironFECfabrication evaluation chipFEMfinite element modelFEOLfront end of lineFESEMfield emission scanning electron microscopyFETfield-effect transistorFFTfast Fourier transformFFUfilter fan unitFIfilter

42、ability index; factory integrationFIBfocused ion beamFIDflame ionization detectorFIFOfirst-inFIMSfront-opening interface mechanical standardFLfuzzy logicFLOPCfloating point operations needed per cycleFLOTOXfloating gate tunnel oxideFLRTfactory layout/relayout toolFMforeign materialFMEAfailure mode a

43、nd effects analysisFMMCfactory material movement componentFMVPFramework Member Validation ProjectFNNfeed-forward neural networkFOCSfiber-optic chemical sensorFOSBfront opening shipping boxFOUPfront opening unified podFOVfield of viewFOXfield oxideFPflash pointFPDfocal plane deviation; flat panel dis

44、playFPGAfield-programmable gate arrayFPLAfield-programmable logic arrayFPLFfield-programmable logic familyFPLSfield-programmable logic switchFPMSFactory Performance Modeling SoftwareFPROMfield-programmable read-only memoryFRACASFailure ReportingFRAMEFailure Rate Analysis and ModelingFRMBfast ramp mi

45、ni batchFSGfused silica glassFSMfinite state machineFTfinal test; Fourier transformFTAfault tree analysisFTABFocus Technical Advisory BoardFTIRFourier transform infraredFWfull waveFWHMfull-width half-maximumFZfloat zoneGagalliumGACgranular activated carbonGCgas chromatography; gravimetric calibrator

46、GCCgeneric cell controllerGCDgas chromatography distillationGCMSgas chromatography mass spectroscopyGDPPgas drive plasma pinchGDSgraphical design system; graphical design softwareGegermaniumGEMGeneric EquipmentModelGEMVSGEM verification systemGESgeneric equipment simulatorGFCgas filter correlationGF

47、CIground fault circuit interrupterGIDLgate-induced drain leakageGILDgas immersion laser dopingGLCgas liquid chromatographyGOIgate oxide integrityGPIBgeneral-purpose interface busGSCEgas source control equipmentGTSGEM Test SystemHhydrogenHAPhazardous air pollutantHARIhigh aspect ratio inspectionHASTh

48、ighly accelerated stress testingHAZCOMHazard Communication StandardHBhorizontal Bridgeman crystalHCIhot carrier injectionHCMhollow cathode magnetronHCMOShigh-density CMOSHCShot-carrier suppressedHDhigh densityHDLhardware description languageHDPhigh-density plasmaHDPEhigh-density polyethyleneHehelium

49、HEMhigh-efficiency matchingHEPAhigh-efficiency particulate airHfhafniumHFhydrofluoric acidHgmercuryHIBSheavy ion backscattering spectrometryHiPOxhigh-pressure oxygenHLFhorizontal laminar flowHMDShexamethyldisilizaneHMIShazardous materials inventory statementHMMPhazardous materials management planHMO

50、Shigh-performance MOS; high-density MOSHOMERhazardous organic mass emission rateHOPGhighly oriented pyrolitic graphiteHPhigh purityHPEMHybrid Plasma Equipment ModelHPIhigh pressure isolationHPLhigh-performance logicHPLChigh-performance liquid chromatographyHPMhazardous production materials; high-pur

51、ity metalHPVhigh-pressure ventHRAhuman reliability analysisHRRhigh ramp rateHRTEMhigh-resolution transmission electron microscopyHSQhydrogen silsesquioxaneHTOhigh-temperature oxidationHTRBhigh-temperature reverse biasHUPWhot ultrapure waterHVACheatingIiodineI/Oinput/outputI2Lintegrated injector logi

52、cI300IInternational 300 mm InitiativeICintegrated circuit; Investment Council; ion chromatographyICAPinductively coupled argon-plasma spectrometryICMSintegrated circuit measurement systemICPinductively coupled plasmaICP-AESinductively coupled plasma atomic emission spectroscopyICP-MSinductively coup

53、led plasma mass spectrometryICTideal cycle timeIDDQdirect drain quiescent currentIDEALinitiatingIDLinterface definition languageIDLHimmediately dangerous to life or healthIDSinteractive diagnostic systemIEAion energy analysisIECinfused emitter couplingIEDFion energy distribution functionIERNinternal

54、-external recurrent neural networkIFinterfaceIGFETinsulated-gate field-effect transistorILBinner lead bondILDinterlevel dielectric; interlayer dielectricILSintracavity laser spectroscopyIMintegrated model; integrated metrologyIMDintermetal dielectricIMMAion microphobe mass analysisIMSion mobility sp

55、ectroscopyInindiumINCAMSindividual cassette manufacturing systemIPAisopropyl alcoholIPLion projection lithographyIPTideal process timeIriridiumIRinfraredIRASinfrared reflection-absorption spectroscopyIRISimaging of radicals interacting with surfacesIRNinternal recurrent neural networkIRONMANImprovin

56、g Reliability of New Machines at NightIRTC-1interconnect reliability test chip-1ISinformation systems; interface specifications; integrated systemsISCIndustry Steering CouncilISEMinspection/review specific equipment modelISMinductor super magnetronISMTInternational SEMATECHISPMin situ particle monit

57、orISRin situ rinseISSion scattering spectroscopyITRIInterconnection TechnologyITRSInternational TechnologyIVHinterstitial via holeIVPintegrated vacuum processingJDPJoint Development ProgramJEDECJoint Electron Device Engineering CouncilJESSIJoint European Submicron Silicon InitiativeJICJoint Industri

58、al CouncilJITjust-in-timeJJTJosephson junction transistorJVDjet vapor depositionKpotassium; thousandkeVkilo electron voltKPAkey process areaKrkryptonkVkilovoltLalanthanumLAMMAlaser micro-mass analysisLAMMSlaser micro-mass spectroscopyLCinductance-capacitance; liquid chromatographyLCAlifecycle analysisLCCleaded chip carrierLCLlower confidence limitLDDlightly doped drainLDLlower detection limitLDPlow-density plasmaLDPElow-density polyethyleneLECliquid encapsulated Czochralski crystalLELlower explosive limitLERline edge roughnessLFlaminar flowLFLlower flammable

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!