欢迎来到装配图网! | 帮助中心 装配图网zhuangpeitu.com!
装配图网
ImageVerifierCode 换一换
首页 装配图网 > 资源分类 > DOC文档下载
 

半导体词汇缩写表

  • 资源ID:123424630       资源大小:937.50KB        全文页数:31页
  • 资源格式: DOC        下载积分:25积分
快捷下载 游客一键下载
会员登录下载
微信登录下载
三方登录下载: 微信开放平台登录 支付宝登录   QQ登录   微博登录  
二维码
微信扫一扫登录
下载资源需要25积分
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

半导体词汇缩写表

半导体词汇缩写表A/Danalog to digitalAAatomic absorptionAASatomic absorption spectroscopyABCactivity-based costingABMactivity-based managementACalternating current; activated carbonACFanisotropic conductive filmACIafter-clean inspectionACPanisotropic conductive pasteACTalternative control techniques; actual cycle timeADCanalog-to-digital converterADEadvanced development environmentADIafter-develop inspectionADTapplied diagnostic techniqueADTSEMApply/Develop Track Specific Equipment ModelAEatomic emission; acousticemission; absolute ellipsometryAECadvanced equipment controllerAECSAdvanced Equipment ControlSystem; Automated Equipment Control SystemAEIafter-etch inspection; automated equipment interfaceAEManalytical electron microscopyAESAuger emission/electron spectroscopyAFMatomic force microscopyAFPabrasive-free polishAgsilverA-GEMTFAdvanced GEM Task ForceAGVautomated guided vehicleAHFanhydrous hydrogen fluorideAHUair handling unitAIRautomated image retrievalAlaluminumALDatomic layer depositionALEatomic layer epitaxy; application logic elementALSadvanced light source; advanced low-power SchottkyAMCairborne molecular contaminationAMHSautomated material handling systemAMTadvanced manufacturing technologyAMUatomic mass unitANNartificial neural networkANOVAanalysis of varianceAOVair-operated valveAPadhesion promoterAPAadvanced performance algorithmAPCadvanced process controlAPCDadd-on pollution control deviceAPCFIAdvanced Process Control Framework InitiativeAPCVDatmospheric pressure chemical vapor depositionAPECadvanced process equipment controlAPIapplication programming interface; atmospheric pressure ionizationAPMatmospheric passivation module; acoustic plate modeAPRDLAdvanced Products Research and Development LaboratoryaPSMattenuating phase-shift maskAQIACCESS query interfaceAQLacceptable quality levelArargonARaspect ratioARAMSAutomated ReliabilityARCantireflective coatingARDEaspect ratio-dependent etchingARPAAdvanced Research Projects Agency (see DARPA)ARSangle-resolved scatteringAsarsenicAS/RSautomated storage and retrieval systemASAPAdvanced Stepper Application ProgramASICapplication-specific integrated circuitASOautomatic shutoffASPadvanced strip and passivation; advanced strip processorASRautomated send receiveATDFAdvanced ToolDevelopment FacilityATEautomatic test equipmentATGautomatic test generationATLASabbreviated test language for all systemsatmatmosphereATPadvanced technology program; adenosine triphosphate; acceptance and tool performanceATRattenuated total reflectanceAttattenuatedAugoldAVPadvanced vertical processorAVSadvanced visualization systemAWEasymptotic waveform evaluationAWISPMabove wafer in situ particle monitoringAWSadvanced wet stationBbillion; boronBabariumBARCbottom antireflective coatingBASEBoston Area Semiconductor Education (Council)BAWbulk acoustic waveBCbias contrastBDEVbehavior-level deviationBDSBrownian Dynamics SimulationBeberylliumBEOLback end of lineBESOIbonded and etchback silicon on insulatorBFbrightfieldBFGSBroyden-Fletcher- Goldfarb-Shanno optimization algorithmBFLbuffered field-effect transistor logicBGAball grid arrayBHTBrinell hardness testBibismuthBiCMOSbipolar complementary metal-oxide semiconductorBIFETbipolar field-effect transistorBIMbinary intensity maskBiMOSbipolar metal-oxide semiconductorBISTbuilt-in self-testBITbulk ion temperatureBITEbuilt-in test equipmentBMCbubble memory controllerBMDbulk micro defectBOEbuffered oxide etchantBORbottom of rangeBOSSBook of SEMI Standards; binary object storage systemBOXburied oxideBPRbeam profile reflectometry; business process reengineeringBPSGboron phosphosilicate glassBPTEOSBPSG from a TEOS sourceBrbromineBSEbackscattered electron detectionBTABbumped tape automated bondingBVbreakdown voltageCcarbonCacalciumCACIM architectureCAACIM applications architectureCABCompetitive Analysis BenchmarkingCADcomputer-aided designCADTcontrol application development toolCAEcomputer-aided engineeringCAIcomputer-assisted instructionCAMcomputer-aided manufacturingCAPScomputer-assisted problem solvingCARchemically amplified resistCARRIComputerized Assessment of Relative Risk ImpactsCASEcomputer-aided softwareengineering; computer-aided systems engineeringCATcomputer-aided testingCAWConstruction Analysis WorkgroupCAWCcryogenic aerosol wafer cleaningCBGAceramic ball grid arrayCBSchemical bottle storage areaCBTcomputer-based trainingCCchip carrier; cluster controllerCCCceramic chip carrierCCDcharge-coupled deviceCCSLcompatible current-sinking logicCCWcounterclockwiseCdcadmiumCDcritical dimensionCD/OLcritical dimension overlayCDAclean dry airCDEchemical downstream etchCDEMCustomer Delivery Enterprise ModelCDIcollector-diffusion isolationCDMCommon Device Model for SABCDOcontrolled decomposition/oxidationCDRchemical distribution roomCDSchemical distribution systemCeceriumCEcapillary electrophoresisCECcell evaluation chipCEEcontrol execution environmentCEMcontinuous emissions monitoringCER-DIPceramic dual in-line packageCFAcomponent failure analysisCFCchlorofluorocarbonCFDcomputational fluid dynamicsCFMcontamination-free manufacturingCICcleanroom interface chamberCIDcharge-injection deviceCIEcomputer-integrated engineeringCIMcomputer-integrated manufacturingCIM-OSAcomputer-integrated manufacturing-open systems architecture (ESPRIT program)CIPContinuous Improvement ProgramCISCenter for Integrated SystemsCISCcomplex instruction set computerClchlorineCLCCceramic leaded chip carrierCLICclosed-loop intensity controlCMconfiguration management; cassette moduleCMCcassette module controllerCMLcurrent mode logicCMMcapability maturity modelCMOScomplementary metal-oxide semiconductorCMPchemical mechanical planarizationCMRcommon-mode rejection ratio; cancel move requestCNCcomputer numerical control; condensation nucleus counterCNTcarbon nanotubeCocobaltCOBchip-on-boardCOCcost of consumablesCODECcoder-decoderCOEDcomputer-optimized experimental designCOGScost of goods soldCoOcost of ownershipCORBAcommon object request broker architectureCOREcomposite object referenceCOSScommon object services specificationCOTcustomer-owned toolingCoVcoefficient of varianceCpprocess capabilityCPDconcurrent product developmentCPECommunicationsCPGAceramic pin grid arrayCpkprocess capability indexCQFPceramic quad flat packCQNclosed-queuing networkCrchromiumCRCcyclic redundancy checkCRMCost/Resource ModelCscesiumCSACIM systems architectureCSEcontrol systems engineeringCSFcritical success factorCSLcurrent-steering logicCSMA/CDcarrier-senseCSPchip-scale packageCSPEDconcurrent semiconductor production and equipment developmentCSTCIM systems technologyCSTRcontinuously stirred tank reactorCSVcomma-separated variableCTCcluster tool controllerCTEcoefficient of thermal expansionCTIcycle time improvementCTMCcluster tool modular communicationsCucopperCUBcentral utility buildingCUBEScapacity utilization bottleneck efficiency systemCUIcommon user interfaceCUSUMcumulative sumCVcapacitance-to-voltageCVCMcollected volatile condensable materialsCVDchemical vapor depositionCWcontinuous waveCzCzochralski processD/Adigital to analogD/Bdie bondingDACdigital-to-analog converterDASdirect absorption spectroscopyDASSLdifferential algebraic system solverDBMSdatabase management systemDCdirect currentDCAdirect chip attachmentDCATSdouble-contained acid transfer systemDCEdistributed computer environmentDCLdigital command language; display communication logDCSdichlorosilaneDDLdevice description languageDDMSdefect data management systemDEDSdiscrete-event dynamic simulationDESdata encryption standard; display equipment statusDFdarkfieldDFCdensified fluid cleanDFEdual-frequency etchDFMdesign for manufacturingDFRdesign for reliabilityDFTdesign for testDFYdesign for yieldDHFdilute hydrofluoric acidDIdeionized; dielectric isolationDIBLdrain-induced barrier leakageDICdifferential interference contrastDILdual in-lineDIPdual in-line packageDLBIdevice-level burn-inDLOCdeveloped source lines of codeDLSdisplay lot statusDLTdevice-level testDLTSdeep-level transient spectroscopyDMAdirect memory access; dynamic mechanical analysisDMHdisplay message helpsDMLdata manipulation language; display message logDMMdigital multimeterDMOSdiffused metal-oxide semiconductorDMRdisplay move requestsDOdynamic optimizationDOAdead-on alignmentDOASdifferential optical absorption spectroscopyDOEdesign of experimentsDOFdepth of focusDOPdioctylphthalateDPAdestructive physical analysisDPMdigital panel meterDPPdischarge-produced plasmaDPSRAMdual-port static random access memoryDRAMdynamic random access memoryDRAPACDesign Rule and Process Architecture CouncilDRCdesign rule checkDREdestruction removal efficiencyDRIFTSdiffuse reflectance infrared Fourier transform spectroscopyDRTdefect review toolDSAdisplay system activity; dimensionally stable anodeDSCdifferential scanning calorimetryDSMCdirect simulation Monte CarloDSQdownstream quartzDSSdisplay stocker statusDSWdirect step-on-waferDTdynamic testDTAdifferential thermal analysisDTCdirect thermocouple controlDTLdiode transistor logicDTMdefect test monitor; delay time multiplier; device test module; digital terrain mapDTMPNdefect test monitor phase numberDUTdevice under testDUVdeep ultravioletDVdesign verificationDVERdesign rule verificationDVMdigital voltmeterDVSdisplay vehicle statusDWGdomain work groupEAPSMembedded attenuated phase-shift maskEAROMelectrically alterable read-only memoryEASEequipment and software emulatore-beamelectron beamEBHTelectron-beam high-throughput lithographyEBICelectron beam-induced currentEBRedge bead removalECengineering change; equipment controllerECAengineering capability assessmentECADelectronic computer-aided design; engineering computer-aided designECAEelectronic computer-aided engineeringECLemitter coupled logicECNengineering change noticeECOengineering change orderECQBelectrochemical quartz crystal balanceECRelectron cyclotron resonanceEDAelectronic design automationEDSenergy-dispersive spectroscopyEDUequipment-dependent uptimeEDXenergy-dispersive X-rayEDXAenergy-dispersive X-ray analysisEEDFelectron energy distribution functionEELSelectron energy-loss spectroscopyEEPROMelectrically erasable programmable read-only memoryEFEMequipment front-end moduleEFOCSevanescent fiber-optic chemical sensorEFTIRemission Fourier transform infrared spectroscopyEFVexcess flow valveEGEethylene glycol ethersEHSextremely hazardous substanceEIequipment integrationEIDEquipment InterfaceDevelopmentEIPEquipment Improvement Program; Equipment Improvement ProjectEISelectrochemical impedance spectroscopyEKFextended Kalman filterELFextremely low frequencyEMenterprise model; electromagnetic; electromigrationEMAequipment maturity assessmentEMCelectromagnetic capability; electromagnetic compatabilityEMFelectromagnetic fieldEMGelectromigrationEMIelectromagnetic interferenceEMMAelectron microscopy and microanalysisEMPelectromagnetic pulseEMRenter move requestEMUelectromagnetic unitEOSelectrical overstressEOTend of transfer; equivalent oxide thicknessEPextreme pressure; electropolishEPLelectron projection lithographyEPRelectron paramagnetic resonanceEPROMelectrically programmable read-only memoryEPSSelectronic performance support systemEPTequipment performance trackingEQUIPC/I equipment control and integrationEQUIPRTC equipment real-time controlERAMequipment reliabilityERMenterprise reference modelERNexternal recurrent neural networkERPextended range pyrometerERSevent reporting standardERTemergency response timeESengineering specification; expert systemESCelectrostatic chuckESCAelectron spectroscopy for chemical analysisESDelectrostatic dischargeESHenvironmentESMelectronic service manualETABExecutive Technical Advisory BoardETQRExternal Total Quality and ReliabilityEUVextreme ultravioleteVelectron voltEWMAexponentially weighted moving averageFfluorineF/Ifinal inspectionFAfailure analysisFABfast atom bombardmentFAMOSfloating-gate avalanche-injection metal-oxide semiconductorFBGAfine-pitch ball grid arrayFCflip chipFCMfacilities cost modelFCSfactory control systemFDCfault detection and classificationFDEfrequency domain experimentsFDSOIfully depleted silicon on insulatorFeironFECfabrication evaluation chipFEMfinite element modelFEOLfront end of lineFESEMfield emission scanning electron microscopyFETfield-effect transistorFFTfast Fourier transformFFUfilter fan unitFIfilterability index; factory integrationFIBfocused ion beamFIDflame ionization detectorFIFOfirst-inFIMSfront-opening interface mechanical standardFLfuzzy logicFLOPCfloating point operations needed per cycleFLOTOXfloating gate tunnel oxideFLRTfactory layout/relayout toolFMforeign materialFMEAfailure mode and effects analysisFMMCfactory material movement componentFMVPFramework Member Validation ProjectFNNfeed-forward neural networkFOCSfiber-optic chemical sensorFOSBfront opening shipping boxFOUPfront opening unified podFOVfield of viewFOXfield oxideFPflash pointFPDfocal plane deviation; flat panel displayFPGAfield-programmable gate arrayFPLAfield-programmable logic arrayFPLFfield-programmable logic familyFPLSfield-programmable logic switchFPMSFactory Performance Modeling SoftwareFPROMfield-programmable read-only memoryFRACASFailure ReportingFRAMEFailure Rate Analysis and ModelingFRMBfast ramp mini batchFSGfused silica glassFSMfinite state machineFTfinal test; Fourier transformFTAfault tree analysisFTABFocus Technical Advisory BoardFTIRFourier transform infraredFWfull waveFWHMfull-width half-maximumFZfloat zoneGagalliumGACgranular activated carbonGCgas chromatography; gravimetric calibratorGCCgeneric cell controllerGCDgas chromatography distillationGCMSgas chromatography mass spectroscopyGDPPgas drive plasma pinchGDSgraphical design system; graphical design softwareGegermaniumGEMGeneric EquipmentModelGEMVSGEM verification systemGESgeneric equipment simulatorGFCgas filter correlationGFCIground fault circuit interrupterGIDLgate-induced drain leakageGILDgas immersion laser dopingGLCgas liquid chromatographyGOIgate oxide integrityGPIBgeneral-purpose interface busGSCEgas source control equipmentGTSGEM Test SystemHhydrogenHAPhazardous air pollutantHARIhigh aspect ratio inspectionHASThighly accelerated stress testingHAZCOMHazard Communication StandardHBhorizontal Bridgeman crystalHCIhot carrier injectionHCMhollow cathode magnetronHCMOShigh-density CMOSHCShot-carrier suppressedHDhigh densityHDLhardware description languageHDPhigh-density plasmaHDPEhigh-density polyethyleneHeheliumHEMhigh-efficiency matchingHEPAhigh-efficiency particulate airHfhafniumHFhydrofluoric acidHgmercuryHIBSheavy ion backscattering spectrometryHiPOxhigh-pressure oxygenHLFhorizontal laminar flowHMDShexamethyldisilizaneHMIShazardous materials inventory statementHMMPhazardous materials management planHMOShigh-performance MOS; high-density MOSHOMERhazardous organic mass emission rateHOPGhighly oriented pyrolitic graphiteHPhigh purityHPEMHybrid Plasma Equipment ModelHPIhigh pressure isolationHPLhigh-performance logicHPLChigh-performance liquid chromatographyHPMhazardous production materials; high-purity metalHPVhigh-pressure ventHRAhuman reliability analysisHRRhigh ramp rateHRTEMhigh-resolution transmission electron microscopyHSQhydrogen silsesquioxaneHTOhigh-temperature oxidationHTRBhigh-temperature reverse biasHUPWhot ultrapure waterHVACheatingIiodineI/Oinput/outputI2Lintegrated injector logicI300IInternational 300 mm InitiativeICintegrated circuit; Investment Council; ion chromatographyICAPinductively coupled argon-plasma spectrometryICMSintegrated circuit measurement systemICPinductively coupled plasmaICP-AESinductively coupled plasma atomic emission spectroscopyICP-MSinductively coupled plasma mass spectrometryICTideal cycle timeIDDQdirect drain quiescent currentIDEALinitiatingIDLinterface definition languageIDLHimmediately dangerous to life or healthIDSinteractive diagnostic systemIEAion energy analysisIECinfused emitter couplingIEDFion energy distribution functionIERNinternal-external recurrent neural networkIFinterfaceIGFETinsulated-gate field-effect transistorILBinner lead bondILDinterlevel dielectric; interlayer dielectricILSintracavity laser spectroscopyIMintegrated model; integrated metrologyIMDintermetal dielectricIMMAion microphobe mass analysisIMSion mobility spectroscopyInindiumINCAMSindividual cassette manufacturing systemIPAisopropyl alcoholIPLion projection lithographyIPTideal process timeIriridiumIRinfraredIRASinfrared reflection-absorption spectroscopyIRISimaging of radicals interacting with surfacesIRNinternal recurrent neural networkIRONMANImproving Reliability of New Machines at NightIRTC-1interconnect reliability test chip-1ISinformation systems; interface specifications; integrated systemsISCIndustry Steering CouncilISEMinspection/review specific equipment modelISMinductor super magnetronISMTInternational SEMATECHISPMin situ particle monitorISRin situ rinseISSion scattering spectroscopyITRIInterconnection TechnologyITRSInternational TechnologyIVHinterstitial via holeIVPintegrated vacuum processingJDPJoint Development ProgramJEDECJoint Electron Device Engineering CouncilJESSIJoint European Submicron Silicon InitiativeJICJoint Industrial CouncilJITjust-in-timeJJTJosephson junction transistorJVDjet vapor depositionKpotassium; thousandkeVkilo electron voltKPAkey process areaKrkryptonkVkilovoltLalanthanumLAMMAlaser micro-mass analysisLAMMSlaser micro-mass spectroscopyLCinductance-capacitance; liquid chromatographyLCAlifecycle analysisLCCleaded chip carrierLCLlower confidence limitLDDlightly doped drainLDLlower detection limitLDPlow-density plasmaLDPElow-density polyethyleneLECliquid encapsulated Czochralski crystalLELlower explosive limitLERline edge roughnessLFlaminar flowLFLlower flammable

注意事项

本文(半导体词汇缩写表)为本站会员(回****)主动上传,装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知装配图网(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!