单片机原理及应用课程设计总结报告八路温度巡回检测系统

上传人:仙*** 文档编号:119917300 上传时间:2022-07-16 格式:DOC 页数:33 大小:2.74MB
收藏 版权申诉 举报 下载
单片机原理及应用课程设计总结报告八路温度巡回检测系统_第1页
第1页 / 共33页
单片机原理及应用课程设计总结报告八路温度巡回检测系统_第2页
第2页 / 共33页
单片机原理及应用课程设计总结报告八路温度巡回检测系统_第3页
第3页 / 共33页
资源描述:

《单片机原理及应用课程设计总结报告八路温度巡回检测系统》由会员分享,可在线阅读,更多相关《单片机原理及应用课程设计总结报告八路温度巡回检测系统(33页珍藏版)》请在装配图网上搜索。

1、单片机原理及应用课程设计总结报告题 目: 八路温度巡回检测系统设计人姓名: 院 系: 电 气 工 程 学 院专 业: 生物医学工程07级班级学号: 20074320101指导教师: 日期:2011-1-7摘要:本文介绍一种采用STC公司的STC89C52RC 单片机控制DS18B20数字温度传感器采集温度,最后在共阴极的LED灯上实时显示温度值的温度检测系统(由于实验及成本原因本文只做一路传输系统)。该系统从实际应用工程出发, 主要对硬件电路设计、电子元件选择、系统应用软件设计等方面进行具体探讨和研究。系统具有性能稳定可靠、功耗低、成本低、测量准确、传输距离远、维护简单等优点,系统设计在实际工

2、作中具有一定的借鉴意义。关键词: 温度检测; STC89C52RC; DS18B20 目 录第一节 引 言3 系统原理及基本框图3 设计任务3 第二节 硬件设计介绍42.1 STC89C52RC42.2 DS18B2062.3 三极管901282.4 共阴极数码管82.5 硬件部分电路图9第三节 软件设计介绍143.1 程序流程图和实际图1418第四节 个人心得体会21参考文献24附录25附1:电路图附2:元件清单附3:程序第一节 引言 随着计算机技术和传感器技术的飞速发展, 在科研、生产和日常活动中, 人们对温度、压力、流量等模拟物理量的测量要求越来越高。而这些物量中温度的应用是最为广泛的。

3、如何将温度通过传感器变成电信号, 再经过处理转换成计算机能够识别的数字量, 输入到计算机中, 由计算机将采集到的数字量进行不同的处理, 然后在显示器显示出来,并进行实时监控。这已经为当前计算机测量与控制领域的一个重要研究方向。鉴于此, 本文提出一种基于89C52和DS18B20的低成本、远距离传输的温度检测系统设计方案。如图1.1所示,为系统的基本框图。 该系统由六部分组成:STC89C52RC核心单片机,温度采集电路,LED显示电路,报警警电路,复位电路,晶振等,其中温度采集主要由DS18B20组成,在短时间内把热力学温度信号数字,送入单片机,由单片机控制显示电路显示,并且判断是否达到设定温

4、度,若达到设定温度,由单片机启动报警电路,报警。1.2 设计任务利用单片机与AD转换器设计一个八路温度巡回检测系统,对某粮库或冷冻厂八点(八个冷冻室或八个粮仓)进行温度巡回检测。能够测量-30+50oC的温度范围,检测精度要求不大于1oC。采用数码管显示测量值;单片机和AD转换器型号自选(如单片机可选AT89S51或AT89C51等;AD转换器可选ADC0809或ADC0804等)。(本文均基于一路温度检测系统设计)。第二节 硬件设计介绍2.1 STC89C52RC STC89C52RC介绍 单片机自问世以来,以其极高的性价比受到人们的重视和关注,应用很广,发展很快。单片机的体积小,重量轻,抗

5、干扰能力强,环境要求不高,价格低,可靠性强,灵活性好,开发较为容易。基于以上的优点,单片机已经广泛的应用在工业自动化控制,自动检测,智能仪器仪表,机电一体化等各个方面,所以本系统采用单片机做为控制器。单片机中51/52系列最具有代表性。本设计核心采用了STC89C52RC单片机。STC89C51/52单片机系列是在MCS51/52系列的基础上发展起来的,STC89C52RC 完全兼容MCS-51 系列单片机的所有功能,并且本身带有2K 的内存储器,可以在编程器上实现闪烁式的电擦写达几万次以上,比以往惯用的8031CPU 外加EPROM为核心的单片机系统在硬件上具有更加简单方便等优点,其外形如图

6、2.1所示。图2.1 STC89C52RC芯片 STC89C52引脚介绍 STC89C52RC的引脚图如图2.2所示. 图2.2 STC89C52引脚图单片机的引脚功能说明:电源引脚VCC(40 脚):电源端,工作电压为5V。GND(20脚): 接地端。时钟电路引脚XTAL1(19 脚)和XTAL2(18 脚)复位 RST(9 脚).输入输出(I/O)引脚 P0.0-P0.7(39脚-32脚):输入输出脚,称为P0 口,是一个8 位漏极开路型双向I/O 口,内部不带上拉电阻。 P1.0-P1.7(1脚 - 8脚):输入输出脚,称为P1 口,是一个带内部上拉电阻的8 位双向I/0 口。 P2.0

7、-P2.7(21脚28脚): 输入输出脚,称为P2 口,是一个带内部上拉电阻的8 位双向I/O 口, P3.0-P3.7 (10脚17脚):输入输出脚,称为P3 口,是一个带内部上拉电阻的8 位双向I/O 口。P3 端口具有复用功能。表2.1 P3口端口引脚与复用功能表P3 引脚 兼用功能串行通讯输入(RXD) 串行通讯输出(TXD)外部中断0( INT0)P3.3 外部中断1(INT1) 定时器0 输入(T0)P3.5 定时器1 输入(T1)外部数据存储器写选通(WR)外部数据存储器读选通(RD)2.2 DS18B20 DS18B20性能DS18B20是Dallas公司推出的单线集成数字温度

8、采集系统,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现912位的数字值读数方式。其实物如图2.3所示。图2.3 DS18B20 DS18b20内部主要有三个数字部件:64位激光ROM、温度传感器、非易失性温度报警触发器TH和TL。DS18B20 的性能特点如下:独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯;多个DS18B20可以并联在唯一的三线上,实现多点组网功能;无需外部器件;可通过数据线供电,;测温范围55125,在-10+85时精度为零待机功耗温度以9或12位数字量读出;用户可定

9、义的非易失性温度报警设置;具有非易失性上、下限报警设定的功能,用户可方便地通过编程修改上、下限的数值;负电压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作;适用于DN1525, DN40DN250各种介质工业管道和狭小空间设备测温。8PVC电缆直接出线或德式球型接线盒出线,便于与其它电器设备连接。数字量的转换精度及转换时间可通过简单的编程来控制:9位精度的转换时间为9375 ms:10位精度的转换时间187.5ms:12位精度的转换时间750ms。 DS18B20引脚图本文用的DS18B20的常用封装为3脚,如图2.4所示。:图2.4 DS18B20引脚图各脚功能描述如下: DQ

10、:数字信号输入输出端。GND:电源地端。VDD:外接供电电源输入端(在寄生电源接线时此脚应接地)。2.3 三极管9012三极管的工作原理 三极管是一种控制元件,主要用来控制电流的大小,以共发射极接法为例(信号从基极输入,从集电极输出,发射极接地),当基极电压UB有一个微小的变化时,基极电流IB也会随之有一小的变化,受基极电流IB的控制,集电极电流IC会有一个很大的变化,基极电流IB越大,集电极电流IC也越大,反之,基极电流越小,集电极电流也越小,即基极电流控制集电极电流的变化。但是集电极电流的变化比基极电流的变化大得多,这就是三极管的放大作用。IC 的变化量与IB变化量之比叫做三极管的放大倍数

11、(=IC/IB, 表示变化量。),三极管的放大倍数一般在几十到几百倍。 三极管在放大信号时,首先要进入导通状态,即要先建立合适的静态工作点,也叫建立偏置,否则会放大失真。9012是一种最常用的普通三极管。它是一种低电压,大电流,小信号的PNP型硅三极管: 集电极电流Ic:Max -500mA 集电极-基极电压Vcbo: -40V 工作温度:-55 to +150 和9013(NPN)相对 主要用途: o 开关应用 o 射频放大 2.4 共阴极数码管 数码管由8个发光二极管(以下简称字段)构成,通过不同的组合可用来显示数字0 9、字符A F、H、L、P、R、 U、Y、符号“-”及小数点“.”。数

12、码管的外形结构如下图2.5所示。数码管又分为共阴极和共阳极两种结构。图2.5 共阴极四位一体数码管共阴极数码管的8个发光二极管的阴极(二极管负端)连接在一起。通常,公共阴极接低电平(一般接地),其它管脚接段驱动电路输出端。当某段驱动电路的输出端为高电平时,则该端所连接的字段导通并点亮,根据发光字段的不同组合可显示出各种数字或字符。此时,要求段驱动电路能提供额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。使用时,既可以用半导体三极管驱动,也可以直接用TTL与非门驱动。需要加限流电阻。数码管的工作电压一般为1.5至3伏,工作电流只需几到十几毫安。且寿命长,响应速度快。2.5

13、 硬件部分电路图复位电路在振荡器运行时,有两个机器周期(24 个振荡周期)以上的高电平出现在此引脚时,将使单片机复位,只要这个脚保持高电平,52 芯片便循环复位。复位后P0P3 口均置1 引脚表现为高电平,程序计数器和特殊功能寄存器SFR 全部清零。当复位脚由高电平变为低电平时,芯片为ROM 的0000H 处开始运行程序。该芯片的复位脚为9脚,所以复位电路接STC89C52RC的9脚,具体电路如下图2.6所示。当采用的晶体频率是6 MHZ时,可取C=22UF,R=1K;当采用的晶体频率为12MHZ时,可取C=10UF,R=8.2K。不过这都是最佳的组合,也可以有其它大小的电容电阻,只要符合电路

14、要求就可以,如本文就采用22UF的电容和10K的电阻,经试验也满足要求。图2.6 复位电路 晶振为了产生时钟信号,在8052 内部设置了一个反相放大器,XTAL1 是片内振荡器反相放大器的输入端,XTAL2 是片内振荡器反相放大器的输出端,也是内部时钟发生器的输入端。当使用自激振荡方式时,XTAL1 和XTAL2 外接石英晶振,使内部振荡器按照石英晶振的频率振荡,就产生时钟信号。晶振一般使用石英晶体,其频率由系统需要和器件决定,在频率稳定度要求不高时也可以使用陶瓷滤波器。使用石英晶体时C1、C2为C1=C2=30(10)pF,使用陶瓷滤波器时,C1=C2=40(10)pF。本系统用12MHZ的

15、石英晶振,接STC89C52RC的18和19脚,具体电路如图2.7所示。图2.7 时钟信号电路(晶振) 一路传输电路在传统的模拟信号远距离温度测量系统中,需要很好的解决引线误差补偿问题、多点测量切换误差问题和放大电路零点漂移误差问题等技术问题,才能够达到较高的测量精度。另外一般监控现场的电磁环境都非常恶劣,各种干扰信号较强,模拟温度信号容易受到干扰而产生测量误差,影响测量精度。因此,在温度测量系统中,本文采用抗干扰能力强的新型数字温度传感器是解决这些问题的最有效方案,新型数字温度传感器DS18B20具有体积更小、精度更高、适用电压更宽、采用一线总线、可组网等优点,在实际应用中取得了良好的测温效

16、果。DS18B20有三个引脚。VDD管脚接 5V电压给传感器供电。DQ管脚为数据线 ,与STC89C51RC的 连接的同时,还要接一个 47K的上拉电阻,并接到 5V的电源上,使数据线在空闲状态下能自动上拉为高电平。GND管脚接地。具体电路如图2.8所示。之所以接P1口,是因为P1口的驱动力最强,完全可以驱DS18B20的正常运行。图2.8 一路传输电路 LED显示电路显示电路采用静态显示,4位LED数码管。所谓静态显示,就是每一个显示器都要占用单独的具有锁存功能的I/O接口用于比划段字形代码。这样单片机只要把显示的字形代码发送到接口电路,就不用管它了,直到要显示显示新的数据时,再发送心的字形

17、码,因此,使用这种方法,单片机中的CPU的开销小。本文的显示电路如图2.9所示。其中P0口作为7断码和小数点的选择,P2口作为位码的选择,在断码和P0口之间还需加上1K的上拉电阻,以保证LED灯的正常显示。. 图2.9 LED显示电路 报警电路本文中当某一通道的温度测量值超出预先设定的上、下限报警值或系统运行出现故障时, 系统发出声光报警以提醒用户注意。报警电路中光报警采用发光二极管, 声报警采用蜂鸣器来设计,蜂鸣器电路中, 9012晶体管起开关作用, P2.6输出低电平时, 管脚输出电压经过lK限流电阻分压后, 到达9012基极的电压为使得晶体管发射结正偏,集电结反偏, 晶体管导通, 蜂鸣器

18、上电而产生声响。发光二极管电路中, 主要是限流电阻的设计,由于发光二极管工作电流是3 mA-30 mA, 导通压降为1.8 V; 而单片机工作在5 V电压时, I/O口输出低电平的最大灌入电流是16 mA, 输出的低电平是Vss+0.6 V 这样在限流电阻上的压降就是5-1.8-0.6=2.6 V, 而电流要限定在8 mA左右, 所以电阻阻值为2.6 V/8 mA=325欧姆, 在实际电路中选用330欧姆的电阻即能满足要求。具体电路如图2.10所示。图2.10 报警电路下载程序电路本文中将PC机上的程序拷贝到单片机中是通过如图2.11所示的,连接单片机的10和11脚串行接口到插件上,再和PC机

19、之间进行通讯。.图2.11 下载程序电路 完整电路图2.12 完整电路第三节 软件设计介绍3.1 程序流程图和实物图系统程序主要包括主程序,读出温度子程序,温度转换命了子程序,计算温度子程序,显示刷新温度子程序等。 主程序主程序的主要功能是负责温度的实时显示、读出并处理DS18B20的测量的当前温度值。温度测量每1S进行一次,这样可以在1S 之内测量一次被测温度,其程序流程见图3.1所示。图3.1 系统主程序流程 显示电路框图 显示数据刷新子程序主要是对显示缓冲器中的显示数据进行刷新操作,当最高位显示为0时将符号显示位移入下一位。程序流程图如图3.2所示。图3.2 显示电路框图 读出温度子程序

20、温度转换命令子程序主要是发温度转换开始命令,当采用12位分辨率时转换时间约为750ms,在本程序设计中采用1S显示程序延时法等待转换的完成。温度转换命令子程序流程图如图3.3所示。图3.3 温度转换流程图 计算温度子程序计算温度子程序将RAM中读取值进行BCD码的转换运算,并进行温度值正负的判定,其程序流程图如图3.4所示。图3.4 计算温度流程图3.2 调试 硬件调试硬件调试是利用开发系统、基本测试仪器(万用表、显示器)等,检查系统硬件中存在的故障。静态调试 静态调试是在系统未工作时的一种调试。步骤如下:第一步:目测。检查外部的各种原器件或者是电路是否有断点。第二步:用万用表检测。先用万用表

21、复核目测中有疑问的连接点,在检测各种电源线与接地线之间是否有短路现象。第三步:加电检测。给电路板加电,检测所有的插座或者是硬件的电源是否符合要求的值。第四步:联机检查。因为只有单片机开发系统才能完成对用户系统的调试。动态调试 动态调试是在用户系统工作的情况下发现和排除系统硬件中存在的器件内部故障、器件连接逻辑错误等的一种硬件检查,动态调试的一般方法是由近及远、由分到合。由近及远 是将信号流经的各器件按照距离单片机的逻辑距离进行由近及远的分层,然后分层调试。调试时,仞采用去掉无关元件的方法,逐层调试下去,就会定位故障元件了。由分到合 是指首先按照逻辑功能将系统硬件电路分为若干块,当调试电路时,与

22、该元件无关的器件全部从系统中去掉,这样可以将故障范围限定在某个局部的电路上。当各块电路无故障后,将各电路逐块加入系统中,再对各块电路功能及各电路之间可能存在的相互联系进行调试, 软件调试软件调试是通过对程序的汇编(或者C语言)、连接、执行来发现程序中存在的语法错误与逻辑错误并加以排除纠正的过程,查看程序是否有逻辑的错误。在对硬件调试后再对软件进行,因为先对硬件检查没问题的情况下再对软件进行调试,编译软件可以通过编译去检查程序上的语法错误,然后可以在它的基础上在对它进行一些修改达到没有错误为止,然后将软件拿到硬件上去运行。通过仿真后,如无误,方可将程序灌输如单片机中。 实物图3.5 调试前电路板

23、图3.6 调试时电路板图3.7 调试后温度显示第五节 个人心得体会做本课程设计,让我清楚的了解了电子设计大体分三个阶段:设计与计算阶段(预设计阶段)、安装与调试阶段、撰写总结报告阶段。在拿到一个课题时首先要做的事就是对课题的任务,要求和条件进行仔细的分析和研究,找出关键问题,根据关键问题提出实现的原理和方法,并画出原理框图。提出原理方案是一个关系到设计全局的问题,应广泛收集与查阅有关资料,广开思路,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提出的方案中,对关键部分的可行性,一般应通过试验加以确认。根据整个课题的技术要求,明确该功能框对单元电路的技术要求,必要时应详细拟

24、定单元电路的性能指标,然后进行单元电路结构形式的选择或设计,但满足功能框图要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。就如我们这个课题当初我就提出了三种方案,最后基于简单,可实行选择了如今这种方案。然后是元器件的选择,元器件的品种规格繁多,性能、价格和体积各异,选择器件需进行分析比较。首先考虑满足单元电路对元器件性能指标的要求,其次考虑价格、货源和元器件体积等,最好是使用实验室已有的元器件。元器件选好了就是参数的计算了,值得指出的是,满足性能指标要求的参数值通常不是唯一的,这就要求对各组参数进行综合性的分析,仔细考虑元器件之间的参数配合、元器件价格、体积和货源等因素,恰当地选取

25、一组适合的参数。在各单元电路确定后,还要认真考虑它们之间的级联问题,如:电气特性的相互匹配、信号耦合方式、时序配合,以及相互干扰等问题,保证整个电路能正常工作。这些都做好后,总体实验电路就出来了,接下来的事情就是焊接工作,实施焊接的主要工具是电烙铁,其次还有松香、焊锡、吸水棉、连接线等,焊接的主要步骤为准备施焊加热被焊件加焊锡丝移开焊锡丝移开电烙铁。在焊接电路时一定做到认真仔细、一丝不苟。注意连线正确,焊接规范,尽量做到整齐美观并保证接触良好;集成块插牢并注意方向;电源和地线不要短路,以避免人为故障。最后就是对电路进行调试。一个组装好的电子电路不可能不经调试即可满足设计要求。调试中出现的各种现

26、象和问题,需要我们提出解决的办法。只有这样,才能顺利做好调试工作,才能通过此次设计得到实际训练。在调试当中一般常见故障源为:接触不良(特别是当电源线接触不良时可能工作不稳定)、焊接错误(错焊、漏焊或虚焊)、接线错误(错接、漏接或短路)、器件本身损坏(需单独测试其功能方能确定确实损坏)、集成块插错位置或方向插反、多余控制输入端未正确处理(一般若悬空会有较大干扰,应接固定电平)、设计上有缺陷(出现预先估计不到的现象,这就需要改变某些元件的参数或更换元器件,甚至需要修改方案)。在此次设计当中,由于电路设计和焊接工作都非常仔细认真的完成,所以在调试当中几乎很少出现电路的问题,因此本设计才顺利的完成了。

27、我通过实践课的综合练习,课程设计的实际操作,将课堂理论学习贯穿其中,全面系统的把单片机课程的知识联系在一起,做到融会贯通,使我真正感受到理论应用于实践的乐趣。这次设计是一次锻炼的好机会,使我在学习和巩固新、老知识的同时,训练了自己综合运用知识的能力、分析解决新问题的能力,同时也提高了自己工程实践能力;在设计的过程中,我与同学一同学习、一同讨论,大家集思广益,发扬了团队协作精神。在课程设计过程中,我发现了自己的不足,今后应加强学习,并且加强理论与实践的相结合,把所学的知识应用于实际当中。参考文献1 李朝青.单片机原理及接口技术(第3版)M.北京:航空航天大学出版社,20052 陈世和.电工电子实

28、习教程M. 北京:航空航天大学出版社,20073 孙进生等.电子产品设计实例教程M. 北京:冶金工业出版社,20044 张伟等.Protel 99SE实用教程 M.北京:人民电邮出版社,20085 白瑞青,金功伟.单片机温度巡回监测系统J.测试技术学报,98年第12卷第3期6 李红刚,方佳,王强,钱双艳.基于At89C51的八路温度巡回检测系统设计J.热带农业工程,2010年第34卷第1期附录附1表1 元件清单序号型号个数1STC89C51(52)RC12DS18B2013排阻(1K)14排针(4)15.排针(2)16按键17LED显示灯(84)18蜂鸣器1912晶振101K电阻1111210

29、K电阻1311430PF电容21522UF电容116S9012三极管117发光二极管1注:封装都为标准封装附2图1 一路传输温度测量系统完整电路图附3源程序#include reg52.h#include intrins.h /_nop_();延时函数用#define Disdata P0 /段码输出口#define discan P2 /扫描口#define uchar unsigned char#define uint unsigned intsbit DQ=P10; /温度输入口sbit DIN=P07; /LED小数点控制uint h; uint temp;/*温度小数部分用查表法*/

30、uchar code ditab16=0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04,0x05,0x06,0x06,0x07,0x08,0x08,0x09,0x09;/uchar code dis_712=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff,0xbf; uchar code scan_con4=0xFE,0xFD,0xFB,0xF7; /列扫描控制字uchar data temp_data2=0x00,0x00; /读出温度暂放uchar data display5=0x00,0x00,0

31、x00,0x00,0x00; /显示单元数据,共4个数据和一个运算暂用/*11us延时函数*/void delay(uint t) for (;t0;t-);/*显示扫描函数*/scan() char k; for(k=0;k0;i-) DQ=1;_nop_();_nop_(); /从高拉倒低 DQ=0;_nop_();_nop_();_nop_();_nop_(); /5 us DQ=val&0x01; /最低位移出 delay(6); /66 us val=val/2; /右移1位 DQ=1; delay(1);/*DS18B20读1字节函数*/从总线上取1个字节uchar read_by

32、te(void)uchar i;uchar value=0;for(i=8;i0;i-) DQ=1;_nop_();_nop_(); value=1; DQ=0;_nop_();_nop_();_nop_();_nop_(); /4 us DQ=1;_nop_();_nop_();_nop_();_nop_(); /4 us if(DQ)value|=0x80; delay(6); /66 usDQ=1;return(value);/*读出温度函数*/read_temp() ow_reset(); /总线复位 delay(200); write_byte(0xcc); /发命令 write_b

33、yte(0x44); /发转换命令 ow_reset(); delay(1); write_byte(0xcc); /发命令 write_byte(0xbe); temp_data0=read_byte(); /读温度值的低字节 temp_data1=read_byte(); /读温度值的高字节 temp=temp_data1; temp6348) / 温度值正负判断 tem=65536-tem;n=1; / 负温度求补码,标志位置1 display4=tem&0x0f; / 取小数部分的值 display0=ditabdisplay4; / 存入小数部分显示值 display4=tem4;

34、/ 取中间八位,即整数部分的值 display3=display4/100; / 取百位数据暂存 display1=display4%100; / 取后两位数据暂存 display2=display1/10; / 取十位数据暂存 display1=display1%10; /*符号位显示判断*/ if(!display3) display3=0x0a; /最高位为0时不显示 if(!display2) display2=0x0a; /次高位为0时不显示 if(n)display3=0x0b; /负温度时最高位显示-/*主函数*/main() Disdata=0xff; /初始化端口 discan=0xff; for(h=0;h4;h+) /开机显示0000 displayh=0; ow_reset(); /开机先转换一次 write_byte(0xcc); /Skip ROM write_byte(0x44); /发转换命令 for(h=0;h100;h+) /开机显示0000 scan(); while(1) work_temp(read_temp(); /处理温度数据 scan(); /显示温度值 /*结束*/

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!