基于VHDL的交通灯设计

上传人:su****e 文档编号:113940744 上传时间:2022-06-27 格式:DOC 页数:9 大小:243KB
收藏 版权申诉 举报 下载
基于VHDL的交通灯设计_第1页
第1页 / 共9页
基于VHDL的交通灯设计_第2页
第2页 / 共9页
基于VHDL的交通灯设计_第3页
第3页 / 共9页
资源描述:

《基于VHDL的交通灯设计》由会员分享,可在线阅读,更多相关《基于VHDL的交通灯设计(9页珍藏版)》请在装配图网上搜索。

1、 级学生EDA课程设计 EDA课程设计报告书课题名称基于VHDL的交通灯设计姓 名 学 号 院 系 专 业 指导教师 年 月 日 一 设计任务及要求: 设计任务: 模拟十字路口交通信号灯的工作过程,利用实验板上的两组 红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。指导教师签名: 年 月 日 二、指导教师评语:指导教师签名: 年 月 日 三、成绩验收盖章 年 月

2、 日 基于VHDL的交通灯设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。设计要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图1 路口交通管理示意图ABCD主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态二、设计原理: 设计目的:学习DEA开发软件和Qua

3、rtusII的使用方法,熟悉可编辑器件的使用。通过制作来了解交通灯控制系统,交通灯系统主要是实现城市十字交叉路口红绿灯的控制。 设计说明:(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入信号

4、:full:接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号; tl:倒计数值 秒数个位变化控制信号; th:倒计数值 秒数十位变化控制信号; (3)第三模块:红绿灯状态转换电路。 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm:接收计数秒数选择电路状态转换信号; comb_out:负责红绿灯的状态显示。 (4)第四模块:时间显示电路。 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值 秒数个位变化控制信号; th:倒计数值 秒数十位变化控制信号; 系统

5、输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。三、 设计方案定时时间到检测电路计时器预置数产生电路状态发生器(两位二进制计数器) 时间显示数据输出红黄绿灯输出控制电路(RYG) 红黄绿灯信号输出1秒时钟脉冲信号发生器 图2 交通信号灯控制器的原理框图秒脉冲信号发生器(进程P1和P2)状态寄存器(进程P6)计数器(进程P3、P4和P5)CLK 时间显示数据输出 次态发生器信号灯输出信号(进程P7) 信号灯输出图3 交通信号灯控制器程序原理框图 该程序由7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进程P3、P4、P5构成两个带有预置数

6、功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。进程P6实现状态转换和产生状态转换的控制信号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。四、程序清单library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity traffic is port (clk:in std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(6 downto 0); comb_out:ou

7、t std_logic_vector(5 downto 0); end;architecture one of traffic istype dm is (s0,s1,s2,s3); signal current_state,next_state:dm; signal full:std_logic; signal tl :std_logic_vector(6 downto 0); signal th:std_logic_vector(1 downto 0); signal tm :std_logic_vector(6 downto 0); signal time:std_logic_vecto

8、r(6 downto 0);begin P_REG: process(clk) variable cnt8:std_logic_vector(7 downto 0); begin if clkevent and clk=1 then if cnt8 = 11111111 then cnt8:=01111111; full=1; else cnt8 := cnt8+1; full= 0; end if; end if ; end process P_REG;process(full)begin if full event and full=1 thenIf time1000011 then ti

9、me=time+1; else time =0000000; end if;end if;end process; REG:process( full,current_state) begin if full=1 and fullevent then current_statecomb_out=001100;tm=39-time; if time=39 then next_state=s1; else next_statecomb_out=010100;tm=43-time; if time=43 then next_state=s2; else next_statecomb_out=1000

10、10;tm=63-time; if time=63 then next_state=s3; else next_statecomb_out=100001;tm=67-time; if time=67 then next_state=s0; else next_state=30 then th=11;tl=20 then th=10;tl=10 then th=01;tl=tm-10;else th=00;tlled7s1led7s1led7s1led7s1null; end case;case tl is when 0000000=led7s2led7s2led7s2led7s2led7s2l

11、ed7s2led7s2led7s2led7s2led7s2null; end case; end process;end;五、仿真结果六、实验心得体会 通过这次课程设计,我进一步加深了对电子设计自动化的了解。并进一步熟练了对QuartusII软件的操作。在编写程序的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。通过与同学探讨和请教老师,终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,再按照这个方向分模块的把要实现的功能用流程图的形式展示。最后参照每个模块把输入和输出引脚设定,运用我们所学的VHDL语言进行编程。总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。参考文献 1 江国强.EDA技术与应用.第三版.北京:电子工业出版社,2010. 2 康华光,邹寿彬,秦臻.电子技术基础数字部分M.华中科技大学电子技术课程组编,北京:高等教育出版社,2006.1(2009重印)9

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!