第21讲在系统编程技术35224

上传人:沈*** 文档编号:91458544 上传时间:2022-05-17 格式:PPT 页数:15 大小:314.50KB
收藏 版权申诉 举报 下载
第21讲在系统编程技术35224_第1页
第1页 / 共15页
第21讲在系统编程技术35224_第2页
第2页 / 共15页
第21讲在系统编程技术35224_第3页
第3页 / 共15页
资源描述:

《第21讲在系统编程技术35224》由会员分享,可在线阅读,更多相关《第21讲在系统编程技术35224(15页珍藏版)》请在装配图网上搜索。

1、Digital Logic Circuit第21讲 在系统编程技术第 21 讲n 课时授课计划n 课 程 内 容Digital Logic Circuit第21讲 在系统编程技术内容 : 在系统可编程技术 1 概述 2 isp器件结构( ispLSI 1016 )及命名规则 3 在系统可编程技术目的与要求: 了解isp器件的基本结构和特点; 了解isp和PAL器件的制造流程; 熟悉isp的开发过程和编程方式。重点与难点: isp器件的基本结构; isp器件结构的正确理解; isp器件的编程原理。课堂讨论: 先编程后装配与先装配后编程 固态软件现代教学方法与手段: PowerPoint投影Dig

2、ital Logic Circuit第21讲 在系统编程技术一一. 概述概述 在系统可编程( ispIn System Programmable)技术是20世纪80年代末美国Lattice公司首先提出的一种先进的编程技术,指的是对器件、电路板或整个数字系统的逻辑功能可随时进行修改或重构的能力。 isp技术打破了先编程后装配的惯例,可以先装配后编程,成为产品后还可以反复编程,从而开创了数字电子系统设计技术新的一页。 isp技术使标准的PLD制造流程大大简化,结果是进一步降低成本和改进系统质量。isp为用户提供了传统的PLD技术无法达到的灵活性,带来了巨大的时间效益和经济效益,是可编程逻辑技术的实

3、质性飞跃,因此被称为PLD设计技术的一次革命。 以在系统编程技术为核心的“固态软件”或“硅片软件”将成为现实。 演示Digital Logic Circuit第21讲 在系统编程技术采用PLD/FPGA的标准流程采用ispLSI器件后的改进流程电路板装配对每个器件编程对已编程的器件加贴标签半成品器件入库取库存器件来装配电路板装配电路板测试电路板测试取库存器件(一个品种)取库存器件(一个品种)制造流程比较 PLD和isp两种制造流程的比较Digital Logic Circuit第21讲 在系统编程技术ispLSI 1000 系列性能参数 Digital Logic Circuit第21讲 在系

4、统编程技术ispLSI器件的命名规则ispLSI 1032-60LJI:表示编号为1032的ispLSI器件,最高工作频率为60MHz,是低功耗的,用PLCC封装,是工业用的。 Digital Logic Circuit第21讲 在系统编程技术二、二、ispLSI器件的结构器件的结构 ispLSI 1016的功能框图及其引脚排列图 演示Digital Logic Circuit第21讲 在系统编程技术1.1.集总布线区集总布线区GRP(Global Routing Pool)GRP(Global Routing Pool)。位于芯片的中央,任务是将所有片内逻辑联系在一起,特点是它的输出之间的延

5、迟恒定和可预知。 2.2.万能逻辑块万能逻辑块GLBGLB(Generic Logic BlockGeneric Logic Block)。)。由与阵列、乘积项共享阵列、4输出逻辑宏单元和控制逻辑组成。GLB输入输出单元是芯片中最关键的部位。 GLB的结构图 Digital Logic Circuit第21讲 在系统编程技术3.3.输入输出单元输入输出单元IOCIOC(Input Output CellInput Output Cell)。)。有输入、输出和双向I/O三类组态,靠控制输出三态缓冲电路使能端的MUX来选择。每个I/O单元还有一个电源上拉电阻,当该I/O端不在使用时,该电阻自动接上

6、可以避免因输入悬空引入的噪音和减少电路的电源电流。 4.4.输出布线区输出布线区ORP(Output Routing Pool)ORP(Output Routing Pool)。 ORP是介于GLB和IOC之间的可编程互连阵列,通过对ORP的编程,可以将任一个GLB输出灵活地送到16个I/O端的某一个。有时为了高速的工作,GLB的输出还可跨过ORP直接与I/O单元相连。 5.5.时钟分配网络时钟分配网络CDN(Clock Distribution Network)CDN(Clock Distribution Network)。输入信号由三个专用输入端Y0、Y1、Y2提供,其中Y1兼有时钟或复位

7、的功能。输出有5个,其中CLK0、CLK1、CLK2提供给GLB,IOCLK0和IOCLK1提供给I/O单元,此外还可将时钟专用GLB的四个输出送入CDN,以建立用户定义的内部时钟电路。 6.6.大块结构(大块结构(MegablockMegablock)。)。每8个GLB连同对应的ORP、IOC等构成一个大块。每个大块中还包括2个专用输入端,仅供本大块内的GLB使用,靠软件自动分配。ispLSI 1016 共有两个大块。 Digital Logic Circuit第21讲 在系统编程技术三、在系统可编程技术三、在系统可编程技术 1.1.基本原理基本原理编程时通过行地址和数据位对E2CMOS元件

8、寻址。编程的寻址和移位操作由地址移位寄存器和数据移位寄存器完成。两种寄存器都按FIFO(先入先出)的方式工作。数据移位寄存器按低位字节和高位字节分开操作。 ispLSI器件的编程结构转换示意图 Digital Logic Circuit第21讲 在系统编程技术在系统编程的关键是编程时如何使芯片与外系统脱离。 :编程使能信号 SD0:数据输出线 MODE:编程状态机的控制线 SLCK:串行时钟线 SDI:当MODE为低电平时,SDI作为移位寄存器的串行输入端。当MODE为高电平时,SDI为编程状态机的控制信号; ispEN编程连接口 Digital Logic Circuit第21讲 在系统编程

9、技术2.2.编程方式编程方式 1)利用PC机的I/O口编程 通过并行口和一根7芯传输线向用户目标板提供编程信号,除了前面说的5根信号线外,还有一根地线和对目标板电源的检测线。 2)多芯片isp编程 如果一块线路板上装有多块Lattice isp器件,可将它们总的安排一个接口即可。下图为一种并联方式,各isp器件的4个编程控制信号(MODE,SDI,SDO,SCLK)分别连在一起, 信号则对各部件分别使能,让它们逐个进入编程状态。其它处于正常工作模式的器件仍可继续完成正常的系统工作。3). 菊花链串行编程。硬件接口和编程简单,效率最高,也容易实现。 ispENDigital Logic Circ

10、uit第21讲 在系统编程技术3.3.ispisp器件的开发过程和编程器件的开发过程和编程 isp器件的开发过程分两个阶段:第一阶段从输入设计文件到JEDEC文件(熔丝图)的生成;第二阶段从装入JEDEC文件到芯片烧录成功。每个阶段都有一定的工具支持。 1)ispLSI的开发工具 第一阶段的开发工具,通常采用ISP Synario System、ISP Synario Entry、ISP Synario Sim和ISP WORK Office设计软件。 ISP Synario System是一套完整的电子设计系统,具有设计输入、编译和仿真的功能,能支持所有的ispLSI的器件,允许用原理图、高

11、级语言、真值表、状态机和混合机等多种形式输入,并具有将多个低密度PLD设计升级为高密度PLD的能力 第二阶段使用的工具有 ispCODE、isp菊花链烧录软件IDCD等。 Digital Logic Circuit第21讲 在系统编程技术2. isp器件的设计流程 Digital Logic Circuit第21讲 在系统编程技术设计输入(设计输入(Design EntryDesign Entry): :由设计者对器件的逻辑功能进行描述。设计输入有多种表达方式,电路原理图和硬件描述语言(HDL)是最常用的两种方式。设计实现设计实现: :从设计输入到熔丝图文件的编译过程。优化(Optimizat

12、ion):化简逻辑,并把逻辑描述转换成最适合在器件中实现的形式。合并(Merging):把模块化设计产生的多个文件合并为一个网络表文件。映射(Mapping):把设计划分为若干逻辑小块,以便于用器件内部资源实现。布局(Placement):将已分割的逻辑小块放到器件内部逻辑资源的具体位置,并使他们之间的连线最少。布线(Routing):利用器件的布线资源完成各功能模块之间和反馈信号的连接。 生成编程数据文件(熔丝图JEDEC文件):对设计文件编译,生成可供器件编程使用的数据文件。 器件编程:器件编程:将编程数据文件下载到具体的ispPLD器件中,对在系统可编程器件的编程不需要编程器。 设计校验:设计校验:设计校验包括功能仿真、时序仿真和器件测试三项内容。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!