数字逻辑个性课实验报告材料

上传人:无*** 文档编号:85941012 上传时间:2022-05-06 格式:DOC 页数:39 大小:1.74MB
收藏 版权申诉 举报 下载
数字逻辑个性课实验报告材料_第1页
第1页 / 共39页
数字逻辑个性课实验报告材料_第2页
第2页 / 共39页
数字逻辑个性课实验报告材料_第3页
第3页 / 共39页
资源描述:

《数字逻辑个性课实验报告材料》由会员分享,可在线阅读,更多相关《数字逻辑个性课实验报告材料(39页珍藏版)》请在装配图网上搜索。

1、word学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院计算机科学与技术学院指导教师肖敏学 生 姓 名付天纯学生专业班级物联网14032015-2016学年第一学期译码器的设计与实现【实验要求】:1理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。2要现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。【实验目的】1掌握译码器的工作原理;2掌握n-2n译码器的实现。【实验环境】u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编

2、程语言。【实验步骤】一功能描述输入由五个拨码开关控制,利用led灯输出32种显示二真值表三电路图和表达式四源代码module decoder_5( input 4:0 a, output 15:0 d0 ); reg 15:0 d0; reg 15:0 d1; always (a) begin case(a) 5b00000 :d1,d0=32b1000_0000_0000_0000_0000_0000_0000_0000; 5b00001 :d1,d0=32b0100_0000_0000_0000_0000_0000_0000_0000; 5b00010 :d1,d0=32b0010_000

3、0_0000_0000_0000_0000_0000_0000; 5b00011 :d1,d0=32b0001_0000_0000_0000_0000_0000_0000_0000; 5b00100 :d1,d0=32b0000_1000_0000_0000_0000_0000_0000_0000; 5b00101 :d1,d0=32b0000_0100_0000_0000_0000_0000_0000_0000; 5b00110 :d1,d0=32b0000_0010_0000_0000_0000_0000_0000_0000; 5b00111 :d1,d0=32b0000_0001_000

4、0_0000_0000_0000_0000_0000; 5b01000 :d1,d0=32b0000_0000_1000_0000_0000_0000_0000_0000; 5b01001 :d1,d0=32b0000_0000_0100_0000_0000_0000_0000_0000; 5b01010 :d1,d0=32b0000_0000_0010_0000_0000_0000_0000_0000; 5b01011 :d1,d0=32b0000_0000_0001_0000_0000_0000_0000_0000; 5b01100 :d1,d0=32b0000_0000_0000_100

5、0_0000_0000_0000_0000; 5b01101 :d1,d0=32b0000_0000_0000_0100_0000_0000_0000_0000; 5b01110 :d1,d0=32b0000_0000_0000_0010_0000_0000_0000_0000; 5b01111 :d1,d0=32b0000_0000_0000_0001_0000_0000_0000_0000; 5b10000 :d1,d0=32b0000_0000_0000_0000_1000_0000_0000_0000; 5b10001 :d1,d0=32b0000_0000_0000_0000_010

6、0_0000_0000_0000; 5b10010 :d1,d0=32b0000_0000_0000_0000_0010_0000_0000_0000; 5b10011 :d1,d0=32b0000_0000_0000_0000_0001_0000_0000_0000; 5b10100 :d1,d0=32b0000_0000_0000_0000_0000_1000_0000_0000; 5b10101 :d1,d0=32b0000_0000_0000_0000_0000_0100_0000_0000; 5b10110 :d1,d0=32b0000_0000_0000_0000_0000_001

7、0_0000_0000; 5b10111 :d1,d0=32b0000_0000_0000_0000_0000_0001_0000_0000; 5b11000 :d1,d0=32b0000_0000_0000_0000_0000_0000_1000_0000; 5b11001 :d1,d0=32b0000_0000_0000_0000_0000_0000_0100_0000; 5b11010 :d1,d0=32b0000_0000_0000_0000_0000_0000_0010_0000; 5b11011 :d1,d0=32b0000_0000_0000_0000_0000_0000_000

8、1_0000; 5b11100 :d1,d0=32b0000_0000_0000_0000_0000_0000_0000_1000; 5b11101 :d1,d0=32b0000_0000_0000_0000_0000_0000_0000_0100; 5b11110 :d1,d0=32b0000_0000_0000_0000_0000_0000_0000_0010; 5b11111 :d1,d0=32b0000_0000_0000_0000_0000_0000_0000_0001; default d1,d0=32bxxxx_xxxx_xxxx_xxxx_xxxx_xxxx_xxxx_xxxx

9、; endcase endendmodule五测试用例映射:d00:U16. .d015:LD15从左向右映射低位数段输入:1111输出:v16亮学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院计算机科学与技术学院指导教师肖敏学 生 姓 名付天纯学生专业班级物联网14032015-2016学年第一学期数据选择器的设计与实现【实验要求】:(1) 理解数据选择器的工作原理,设计并实现2n选1的数据选择器,要求能够正确地根据输入的控制信号选择适宜的输出。(2) 要现21选1的数据选择器、22选1 的数据选择器、24选1的数据选择器、25选1的数据选择器,2n选1的数据选择

10、器。【实验目的】1掌握数据选择器的工作原理;2掌握2n选1的数据选择器的实现。【实验环境】u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编程语言。【实验步骤】一功能描述由五个拨码开关控制选择,十一个拨码开关控制输入部定义二十一位数,输出由一个led灯显示。二真值表三 表达式四 函数module select_32_1( input 10:0 in_sgn, input 4:0 add_sgn, output out_sgn ); wire 20:0in_in_sgn; wire for_out_sgn; wire back_out_sgn;

11、 assign in_in_sgn=21b0000_0000_0000_0000_00000; select_16_1 sel16_1(.in_sgn(in_in_sgn3:0,in_sgn10:0),.add_sgn(add_sgn3:0),.out_sgn(for_out_sgn); select_16_1 sel16_2(.in_sgn(in_in_sgn20:4),.add_sgn(add_sgn3:0),.out_sgn(back_out_sgn); select_2_1 sel2_1(.in_sgn1(for_out_sgn),.in_sgn2(back_out_sgn),.add

12、_sgn(add_sgn4),.out_sgn(out_sgn);endmodulemodule select_16_1( input 11:0 in_sgn, input 3:0 add_sgn, output out_sgn ); wire 3:0in_in_sgn; wire for_out_sgn; wire back_out_sgn; assign in_in_sgn=4b0000; select_8_1 sel8_1(.in_sgn(in_sgn7:0),.add_sgn(add_sgn2:0),.out_sgn(for_out_sgn); select_8_1 sel8_2(.i

13、n_sgn(in_in_sgn3:0,in_sgn11:8),.add_sgn(add_sgn2:0),.out_sgn(back_out_sgn); select_2_1 sel2_1(.in_sgn1(for_out_sgn),.in_sgn2(back_out_sgn),.add_sgn(add_sgn3),.out_sgn(out_sgn);endmodulemodule select_8_1( input 7:0 in_sgn, input 2:0 add_sgn, output out_sgn ); wire for_out_sgn; wire back_out_sgn; sele

14、ct_4_1 sel4_1(.in_sgn(in_sgn3:0),.add_sgn(add_sgn1:0),.out_sgn(for_out_sgn); select_4_1 sel4_2(.in_sgn(in_sgn7:4),.add_sgn(add_sgn1:0),.out_sgn(back_out_sgn); select_2_1 sel2_1(.in_sgn1(for_out_sgn),.in_sgn2(back_out_sgn),.add_sgn(add_sgn2),.out_sgn(out_sgn);endmodulemodule select_4_1( input 3:0 in_

15、sgn, input 1:0 add_sgn, output out_sgn ); wire for_out_sgn; wire back_out_sgn; select_2_1 sel2_1(.in_sgn1(in_sgn0),.in_sgn2(in_sgn1),.add_sgn(add_sgn0),.out_sgn(for_out_sgn); select_2_1 sel2_2(.in_sgn1(in_sgn3),.in_sgn2(in_sgn2),.add_sgn(add_sgn0),.out_sgn(back_out_sgn); select_2_1 sel2_3(.in_sgn1(f

16、or_out_sgn),.in_sgn2(back_out_sgn),.add_sgn(add_sgn1),.out_sgn(out_sgn);endmodulemodule select_2_1( input in_sgn1, input in_sgn2, input add_sgn, output out_sgn ); reg out_sgn; always(*) begin if(add_sgn=0) out_sgn=in_sgn1; else out_sgn=in_sgn2; endendmodule四 电路图五 结果检验选择线从大到小对应从左到右的左边五个拨码开关,输入从大到小对应剩

17、下从左到右十一个拨码开关。任意选led灯一个对应输出。只将最右边一个拨码开关打开,led灯亮。学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院计算机科学与技术学院指导教师肖敏学 生 姓 名付天纯学生专业班级物联网14032015-2016学年第一学期ALU的设计与实现【实验要求】:(1) 理解全加器的工作原理,设计并实现1位,8位,32位全加器,能实现根本的加法运算。(2) 设计并实现1位,8位,32位补码器,能够计算补码,从而实现加法和减法运算。【实验目的】实现1位,8位,32位全加器;实现1位,8位,32位补码运算;实现1位,8位,32位的加减法器。【实验环境】

18、u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编程语言。【实验步骤】一功能描述输入两个八位二进制数,最高位代表符号位,0代表正1代表负,输出八位led灯,一个代表结果符号,八位代表加减后的结果。二 真值表二表达式四 Verilog代码描述module bumaqi( input 6:0 value, Input sign,output 7:0 p ); reg 7:0 p; always (sign) if(sign=1) p=sign,value6:0+1; Else p=sign,value6:0;endmodulemodule ful

19、ladd_2( input a, input b, input x, output s, output c ); wire s1,c1,c2; xor xor1(s1,a,b); xor xor2(s,s1,x); and and1(c1,a,b); and and2(c2,s1,x); or or1(c,c1,c2);endmodulemodule fulljia( input 7:0 a, input 7:0 b, output 7:0 s, output c ); wire 6:0 c_mid; full2 fu1(.a(a0),.b(b0),.x(0),.c(c_mid0),.s(s0

20、); full2 fu2(.a(a1),.b(b1),.x(c_mid0),.c(c_mid1),.s(s1); full2 fu3(.a(a2),.b(b2),.x(c_mid1),.c(c_mid2),.s(s2); full2 fu4(.a(a3),.b(b3),.x(c_mid2),.c(c_mid3),.s(s3); full2 fu5(.a(a4),.b(b4),.x(c_mid3),.c(c_mid4),.s(s4); full2 fu6(.a(a5),.b(b5),.x(c_mid4),.c(c_mid5),.s(s5); full2 fu7(.a(a6),.b(b6),.x(

21、c_mid5),.c(c_mid6),.s(s6); full2 fu8(.a(a7),.b(b7),.x(c_mid6),.c(c),.s(s7);endmodulemodule jiajian( input 7:0 a, input 7:0 b, output 7:0 c, output sign ); wire 7:0a_o; wire 7:0b_o; wire 7:0c_o; bumaqi bu1(a6:0,a7,a_o7:0); bumaqi bu2(b6:0,b7,b_o7:0); fulljia fu(a_o7:0,b_o7:0,c_o7:0,sign); reg 7:0 c;

22、always(c_o7)if(c_o7)c=c_o7,(c_o6:0-1); else c=c_o7:0;if(c_o7)sign=0;endmodule五电路图六结果检验输入a为2,b为3,led灯显示正5.输入a为2,b为负3,led灯显示负1.学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院计算机科学与技术学院指导教师肖敏学 生 姓 名付天纯学生专业班级物联网14032015-2016学年第一学期计数器的设计与实现【实验要求】:(1) 利用D触发器设计并实现二进制计数器,要现216-1的计数;(2) 利用D触发器设计并实现十进制计数器BCD码,要现105-1的

23、计数;(3) 利用D触发器设计并实现3位纽环计数器。【实验目的】1. 掌握二进制和十进制计数器的设计与实现;2. 掌握二进制和十进制计数器的集成;3. 掌握纽环计数器的实现。【实验环境】u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编程语言。【实验原理】【实验步骤】包括:功能描述,真值表,逻辑方程,电路图,Verilog代码实现硬件映射代码,实验结果或者仿真结果1二进制计数器022实现Q1nQ0nQ1n+1Q0n+1C00010011001011011001Q1n+1= Q1nQ0nC=Q1nQ0n2 十进制计数器(1)十进制计数器0-9Q

24、3nQ2nQ1nQ0nQ3n+1Q2n+1Q1n+1Q0n+1C000000010001001000100011001101000100010101010110011001110111100010001001100100001Verilog代码实现module jishuqi_60(input clk,input rst,output reg 7:0q);second_clk second(clk,clk_1); wire 3:0m; wire c; reg 3:0 n=4b0000;jishuqi_10 jishuqi2(clk_1,rst,m,c);always(posedge clk_1

25、)beginif(c=1)case(n) 4b0000: n=4b0001; 4b0001: n=4b0010; 4b0010: n=4b0011; 4b0011: n=4b0100; 4b0100: n=4b0101; 4b0101: n=4b0110; 4b0110: n=4b0111; 4b0111: n=4b1000; 4b1000: n=4b1001;endcaseq=n3:0,m3:0;endendmodulemodule jishuqi_10(input clk_1,input rst,output reg 3:0q,output reg c);always(posedge cl

26、k_1 or posedge rst)beginif(rst=1)q=4b0000;case(q) 4b0000:q=4b0001; 4b0001: q=4b0010; 4b0010: q=4b0011; 4b0011: q=4b0100; 4b0100: q=4b0101; 4b0101: q=4b0110; 4b0110: q=4b0111; 4b0111: q=4b1000; 4b1000: begin q=4b1001; c=1; end 4b1001:begin q=4b0000; c=0; end endcase endendmodulemodule second_clk(inpu

27、t clk,output reg second_clk);reg 27:0 count=0;parameter M=2;always(posedge clk)begin second_clk=0; count=count+1; if(count=M) second_clk=1; if(count=2*M) begin second_clk=0; count=0; endendendmodule结果仿真学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院计算机科学与技术学院指导教师肖敏学 生 姓 名付天纯学生专业班级物联网14032015-2016学年第一学期计时器的设计

28、与实现【实验要求】:根据计数器原理等设计并实现一个数字计时器,能够计秒、分、小时,在控制电路的作用下具有开机清零、复位清零等功能。并能够通过七段数码管显示时钟的秒和分。【实验目的】4. 掌握一些特殊进制60进制、24进制计数器的设计与实现;5. 掌握由basys3提供的100MHZ系统主时钟生成1HZ时钟的方法;6. 掌握数字计时器的实现方法:描述由1HZ的时钟驱动,秒钟60进1,分钟60进1,时针24进1;7. 掌握将计时器显示在七段数码管上。【实验环境】u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编程语言。【实验原理】【实验步骤】实验

29、思路:在上一次实验计数器的根底上进展以秒计数,再将一秒划分成两百份,让七段管以五十为刷新率刷新数据。Verilog代码实现module shi( input clk, input rst, output reg 3:0 an, output reg 6:0 b ); wire 6:0n;wire 6:0m;wire 6:0p;wire 6:0q; wire c1,c2,c3,c4;wire clk_1,clk_2;reg rst_clk; jishuqi_3600 jishuqi1(clk,rst,q,c4); jishuqi_600 jishuqi1(clk,rst,p,c3); jishu

30、qi_60 jishuqi1(clk,rst,n,c2); jishuqi_10 jishuqi2(clk,rst,m,c1); third_clk third2(clk,clk_2); always(posedge clk_2 or posedge rst) begin rst_clk=rst; if(rst_clk)an=4b0111; case(an) 4b0111:begin an=4b1110;b=m; end 4b1110:begin an=4b1101;b=n;end 4b1101:begin an=4b1011;b=p; end 4b1011:begin an=4b0111;b

31、=q; end endcase end endmodulemodule jishuqi_3600(input clk,input rst,output reg 6:0q,output reg c);second_clk second(clk,clk_1); wire 6:0m; wire c1; jishuqi_600 jishuqi4(clk,rst,m,c1);always(posedge clk_1 or posedge rst)beginbeginif(rst=1)q=7b0000_001;endif(c1=1)case(q) 7b0000_001: q=7b1001_111; 7b1

32、001_111: q=7b0010_; 7b0010_: q=7b0000_110; 7b0000_110: q=7b1001_100; 7b1001_100: q=7b0100_100; 7b0100_100: begin q=7b0100_000; c=1; end 7b0100_000: begin q=7b0000_001; c=0; endendcaseendendmodulemodule jishuqi_600(input clk,input rst,output reg 6:0q,output reg c);second_clk second(clk,clk_1); wire 6

33、:0m; wire c1; jishuqi_60 jishuqi3(clk,rst,m,c1);always(posedge clk_1 or posedge rst)beginbeginif(rst=1)q=7b0000_001;endif(c1=1)case(q) 7b0000_001: q=7b1001_111; 7b1001_111: q=7b0010_; 7b0010_: q=7b0000_110; 7b0000_110: q=7b1001_100; 7b1001_100: q=7b0100_100; 7b0100_100: q=7b1000_000; 7b1000_000: q=7

34、b0001_111; 7b0001_111: q=7b0000_000; 7b0000_000: begin q=7b0001_100; c=1; end 7b0001_100: begin q=7b0000_001; c=0; endendcaseendendmodulemodule jishuqi_60(input clk,input rst,output reg 6:0q,output reg c);second_clk second(clk,clk_1); wire 6:0m; wire c1; jishuqi_10 jishuqi2(clk,rst,m,c1);always(pose

35、dge clk_1 or posedge rst )beginbeginif(rst=1)q=7b0000_001;endif(c1=1)case(q) 7b0000_001: q=7b1001_111; 7b1001_111: q=7b0010_; 7b0010_: q=7b0000_110; 7b0000_110: q=7b1001_100; 7b1001_100: q=7b0100_100; 7b0100_100: begin q=7b0100_000; c=1; end 7b0100_000: begin q=7b0000_001; c=0; endendcaseendendmodul

36、emodule jishuqi_10(input clk,input rst,output reg 6:0q,output reg c);second_clk second(clk,clk_1);always(posedge clk_1 or posedge rst)beginif(rst=1)q=7b0000_001;case(q) 7b0000_001: q=7b1001_111; 7b1001_111: q=7b0010_; 7b0010_: q=7b0000_110; 7b0000_110: q=7b1001_100; 7b1001_100: q=7b0100_100; 7b0100_

37、100: q=7b0100_000; 7b0100_000: q=7b0001_111; 7b0001_111: q=7b0000_000; 7b0000_000: begin q=7b0001_100; c=1; end 7b0001_100: begin q=7b0000_001; c=0; end endcase endendmodulemodule second_clk(input clk,output reg second_clk);reg 27:0 count=0;parameter M=4;always(posedge clk)begin second_clk=0; count=

38、count+1; if(count=M) second_clk=1; if(count=2*M) begin second_clk=0; count=0; endendendmodulemodule third_clk(input clk,output reg second_clk);reg 27:0 count=0;parameter M=50000000;always(posedge clk)begin second_clk=0; count=count+1; if(count=M) second_clk=1; if(count=2*M) begin second_clk=0; count

39、=0; endendendmodule仿真代码module test_shi();reg clk,rst;wire 3:0a;wire6:0b;wire6:0n;wire6:0m;wire c1,c2;shi shi1(clk,rst,a,b);jishuqi_60 jishuqi1(clk,rst,n,c1);jishuqi_10 jishuqi2(clk,rst,m,c2);initialbeginclk=0;rst=1;endalways#1clk=clk;always#1 rst=0;endmodule仿真结果学生学号实验成绩学生实验报告书实验课程名称逻辑与计算机设计根底开 课 学 院

40、计算机科学与技术学院指导教师肖敏学 生 姓 名吴琼学生专业班级物联网14032015-2016学年第一学期移位存放器的设计与实现【实验要求】:1. 设计带有并行加载功能的移位存放器,实现数据加载和移位功能。【实验目的】1. 掌握并行移位存放器的设计与实现。【实验环境】u Basys3 FPGA开发板,69套。u Vivado2014 集成开发环境。u Verilog编程语言。【实验步骤】功能描述1. 4位串行移位存放器,能够实现串行移位功能,即串行输入信号S1输入,依次经过四个触发器串行输出,能够进展左移和右移。2. 带有并行加载功能的移位存放器,实现数据加载和移位功能。真值表触发Q3nQ2n

41、Q1nQ0nQ3n+1Q2n+1Q1n+1Q0n+1上升沿Q3nQ2nQ1nQ0nQ2nQ1nQ0nX S1shiftload操作X00保持没有变化X01加载并行数据01X按Q0到Q3移位并行移位11X串行移位shiftloadd3d2d1d0Q3nQ2nQ1nQ0nQ3n+1Q2n+1Q1n+1Q0n+100XXXXXXXXQ3nQ2nQ1nQ0n01d3d2d1d0XXXXd3d2d1d01XXXXXXXXXQ2nQ1nQ0n0次态方程,激励方程电路图Verilog代码实现moduleD(inputclk,inputreset,inputd,outputregq);always(posed

42、geclkorposedgereset)beginif(reset)q=0;elseq=d;endendmodulemodulejicunqi(inputclk,inputrst,inputshift,inputload,inputs1,input3:0d,output3:0q);wiresec;sec_clksec_clk1(clk,sec);assignd0=(shift&s1)|(shift&d0&load)|(shift&load&q0);assignd1=(shift&q0)|(shift&d1&load)|(shift&load&q1);assignd2=(shift&q1)|(s

43、hift&d2&load)|(shift&load&q2);assignd3=(shift&q2)|(shift&d3&load)|(shift&load&q3);Ddt_0(sec,rst,d0,q0);Ddt_1(sec,rst,d1,q1);Ddt_2(sec,rst,d2,q2);Ddt_3(sec,rst,d3,q3);endmodulemodulesec_clk(inputclk,outputregsecond_clk);reg27:0count=0;parameterSECOND=50000000;always(posedgeclk)beginsecond_clk=0;count=count+1;if(count=SECOND)second_clk=1;if(count=2*SECOND)beginsecond_clk=0;count=0;endendendmodule实验结果Load拨0,s1拨1,reset拨0,shift拨1,从右到左led灯每隔1s一一亮起,再一一熄灭39 / 39

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!