全自动洗衣机课程设计说明书

上传人:无*** 文档编号:82414662 上传时间:2022-04-29 格式:DOC 页数:25 大小:244KB
收藏 版权申诉 举报 下载
全自动洗衣机课程设计说明书_第1页
第1页 / 共25页
全自动洗衣机课程设计说明书_第2页
第2页 / 共25页
全自动洗衣机课程设计说明书_第3页
第3页 / 共25页
资源描述:

《全自动洗衣机课程设计说明书》由会员分享,可在线阅读,更多相关《全自动洗衣机课程设计说明书(25页珍藏版)》请在装配图网上搜索。

1、全自动洗衣机控制系统一 题义分析及解决方案1.题义需求分析题目要求设计一个全自动洗衣机控制系统。可以用若干个按键来实现对洗衣机的控制,让其有两种洗衣模式分的水流别是普通水流和柔和水流以及两种洗衣程序即标准程序和经济程序。程序中要实现电机正转反转的功能,而且在各个状态中都应该通过LED晶体二极管显示洗衣机运行状态,最后在洗衣工作完成后,由蜂鸣器发出声响,表示衣物已洗干净。由此可见,本课程设计大致上需要一个8255A芯片来控制整个程序的连接以及输入输出,若干个LED二极管来显示洗衣机运行状态,一个直流电机,逻辑开关,一个继电器来控制阀门的闭合,最后还需要一个蜂鸣器来提示洗衣过程的结束。2. 解决问

2、题的方法跟思路1) 硬件部分:a. 选择可编程并行接口芯片8255A,实现自动洗衣机各个控制以及状态的显示输出。本设计使8255A工作于方式0,即基本输入输出方式;将PA口作为输出口,显示洗衣机工作时的各个状态;PB口作为输入口,即连接开关按键;PC作为输入口,连接继电器,蜂鸣器等部件。b. 直接选用LED二极管显示洗衣机工作状态因为其会使实验过程一目了然,而且减少了8255端口的使用,编程上也方便了。c. 由于洗衣机中的电机要有一个正转反转的过程,在本设计中采用了数模转换器和PWM电压转化器以及直流电机。2) 软件部分:对8255进行初始化。将8255的工作方式设定为方式0,即PA、PB、P

3、C三个口能够进行输入输出操作。PB口作为输入口,与逻辑开关相连,接收开关表示的数值和要控制的相关状态。PA口连接LED小灯输出运行状态。在开始时候应将水位设为1即高水位。PC口连接蜂鸣器,继电器,电机等元件也为输入口。 二.硬件设计1. 选择芯片8255(1) 芯片8255A在本次设计中的作用8255A主要是用作数据的输入和输出接口,将逻辑开关的所输入的值接收进来,并且可以将数值进行输出,电源为+5V。具体的讲就是控制洗衣机运行时候的各个流程,以及其间的状态显示和后来的报警。(2)8255A的功能分析8255A采用40脚双列直插式封装单一+5V电源,全部输入/输出均与TTL电平兼容,为可编程通

4、用并行接口芯片。它有24条可编程的I/O引脚,与Intel系列微处理器完全兼容,直接的位清0或置1功能,简化了接口控制。8255A在本设计中起并行传输接口作用,负责将从逻辑开关得到的数据送到LED显示器上显示出来。本实验中将8255设置为方式0。PC口作为输入口,与继电器,电机,蜂鸣器等部件相连。而PA口作为输出连接LED小灯显示洗衣机运行的各个状态,PB口作为输入端口连接逻辑开关。8255A是一种通用的可编程并行I/O接口芯片,又称“可编程外设接口芯片”。它是为8086/8088而设计的可以通过程序来改变其功能。本设计中8255A设置为方式0,在方式0下,CPU可以采用无条件读/写方式与82

5、55A交换数据。PA口的八位作为LED小灯的连接位.方式0的工作特点:这种方式通常不用联络信号,不使用中断,三个通道中的每一个都有可以由程序选定作为输入或输出。其功能为:两个8位通道:通道A、B。两个四位通道:通道C高4位和低四位;任何一个通道可以作输入/输出;输出是锁存的;输入是不锁存的;在方式0时各个通道的输入/输出可有16种不同的组合。()主要技术参数8255A主要技术参数参数名称符号测试条件最大规范值最小规范值输入低电平电压VIL0.8V-0.5V输入高电平电压VIHVcc2.0V输入低电平电压(数据总线)VOLIOL=2.5MA0.45V输入低电平电压(外部端口)VOLIOL=1.7

6、MA0.45V输入高电平电压(数据总线)VOHIOH=-400MA2.4V输入高电平电压(外部端口)VOHIOH=-200MA2.4V达林顿驱动电流IDARREXT=750VEXT=1.5V-0.4MA1.0MA电源电流ICC120MA输入负载电流IILI=Vcc0V+10MA-10MA输出浮动电流IOFLVout=Vcc-0+10MA-10MA8255A主要参数分析:8255A的达林顿驱动电流最大为4.0 mA, 当电流超过达林顿驱动电流是芯片就有可能会被损坏,而LED的驱动电流要比它高的多发光,在保证8255芯片安全的同时又能让LED管显示就会需要外加器件。2.选择逻辑开关(1)逻辑开关在

7、本设计中的作用 设计时用到六个个逻辑开关。按键分配如下:K1:暂停开关(0,暂停;1启动)K2:洗衣程序选择开关(0,经济洗衣;1标准洗衣)K3:预设水位的开关(0,低水位;1高水位)K4:排水开关(0,关;1,开)(2)逻辑开关的功能分析 如图所示,开关未合时,与5V 电压相连,输入1,当合上之后,开关就将A口接地,也就输入为0,4位开关可以置015的数。(3)逻辑开关的技术参数 逻辑开关及其编码编 码数 字编 码数 字00000100080001110019001021010A001131011B010041100C010151101D011061110E011171111F 八路二进制开

8、关开关高电平:+5V、低电平:0V3.选择LED发光二极管(1)发光二极管在本设计中的作用即显示作用,标示洗衣机运行时候的各个状态,分别为洗涤、脱水、漂洗、甩干。(2) 发光二极管的功能分析LED作指示电路时,应该串接限流电阻,该电阻的阻值大小应根据不同的使用电压和LED所需工作电流来选择。 (3) 发光二极管参数发光二极管的压降一般为1.52.0 V,其工作电流一般取1020 mA为宜。4.选择继电器(1) 继电器在本设计中的作用主要是用来模拟进水阀、排水阀。(2) 继电器的功能分析继电器的继电特性继电器的输入信号x从零连续增加达到衔铁开始吸合时的动作值xx,继电器的输出信号立刻从y=0跳跃

9、到y=ym,即常开触点从断到通。一旦触点闭合,输入量x继续增大,输出信号y将不再起变化。当输入量x从某一大于xx值下降到xf,继电器开始释放,常开触点断开继电器结构如图所示:(3) 继电器参数5A/120VAC 10A/80A/240VAC 5A/24VDC- COIL:5VDC AC交流电,DC直流电,A安,COIL线圈这个继电器的触电容量是工作在交流120V是5A交流240V是10A/80A,直流24V是5A,电磁继电器工作电压(线圈工作电压)是5V直流电. 5.选择蜂鸣器(1) 蜂鸣器在本设计中作用在全部洗衣工作完成后,由蜂鸣器发出声响,表示衣物已洗干净。(2) 蜂鸣器功能分析在本设计中

10、用8255的PC4接蜂鸣器。在洗衣过程结束后,程序会给蜂鸣器一个脉冲,促使蜂鸣器发出声响。(3) 蜂鸣器参数额定电压 1.5工作电压范围 1.01.7V最大电流 80MA电阻 6.010欧DBA 70100频率: 30006、硬件总逻辑图及其说明说明:A口为控制八路开关 B口控制为LED指示灯 C口控制步进电机步进电机图:其工作原理在实验代码中有注释三控制程序设计1.基本思想:系统处于初始状态,准备好启动。按下中断键后,绿灯亮,18s衣服放置时间,放置完,进水阀通电,开始注水;当水满(高水位)是进水阀停止进水。此时开始洗涤,洗涤时间为5S,5S后洗涤完成,指示灯亮,洗衣程序运行过程中,LED的

11、绿灯一直是亮的,此时红灯亮起,显示洗涤流程结束。同样的,漂洗时间相对洗涤只是时间短些,设置为3S。脱水过程:脱水前,电机停止,然后打开排水阀,延时5S后进行脱水,电机转动。再5S后脱水结束。红灯亮3S后,灭掉。提示脱水过程结束。甩干就是时间较脱水长。2. 程序流程图:总流程图:开始放衣物是否放好衣物选择洗衣程序是否为经济洗洗涤脱水漂洗甩干洗涤脱水漂洗脱水漂洗甩干NNyy洗涤图:洗涤进水是否达到预定水位电机转是否正转50圈?是否反转50圈是否来回转3次结束NNNNYYYY脱水图:脱水开水阀电机转正传75圈NY闭水阀结束漂洗图:漂洗进水是否达到预定水位电机转是否正转50圈?是否反转50圈是否来回转

12、2次结束NNNNYYYY甩干图:甩干开水阀电机转正传150圈NY红灯亮报警结束3. 控制程序.MODELTINYPCIBAR3EQU1CH;8位I/O空间基地址(它就是实验仪的基地址, 也为DMA & 32 BIT RAM板卡上的8237提供基地址) Vendor_IDEQU 10EBH;厂商ID号Device_IDEQU8376;设备ID号.STACK100.DATAIO_Bit8_BaseAddressDW?msg0DBBIOS不支持访问PCI $msg1DB找不到Star PCI9052板卡 $msg2DB读8位I/O空间基地址时出错$COM_ADDDW00F3H;控制口偏移量PA_AD

13、DDW00F0H ;PA口偏移量PB_ADDDW00F1H;PB口偏移量PC_ADDDW00F2H;PC口偏移量LED_DataDB01111111B;初始化绿灯灯亮DB01011111B;初始化红灯亮(绿灯也在亮)DB00110001B;A*采用单八拍,一次旋转的角度为7.5旋转方向应为D-C-B-ADB00110011B;ABDB00110010B;BDB00110110B;BCDB00110100B;CDB00111100B;CD DB 00111000B ;D DB 00111001B ;DA.CODESTART:MOVAX,DATAMOVDS,AXNOPCALLInitPCICALL

14、ModifyAddress;根据PCI提供的基地址,将偏移地址转化为实地址MOVDX,COM_ADDMOVAL,82H ;8255初始化控制字 1000 0010 (只有B口为输入)OUTDX,ALMOVDX,PC_ADD;灯全亮MOV AL,00Hout dx,alcalldl500msmov al,0ffhOUT DX,AL ;蜂鸣MOVDX,Pa_ADD;灯全熄灭MOVAL,0ffHOUTDX,ALLEABX,LED_Data start1: mov DX,PB_ADD in al,dx cmp al,01h jNz start1 ;等着按开关 K1 mov cx,3 JMP START

15、2START2: MOV DX,PA_ADD MOV AL,0 XLAT OUT DX,AL CALLDL3S ;9s放衣服时间,绿灯始终亮,红灯闪3次MOV AL,1XLATOUT DX,ALCALL DL500MSLOOP START2 JMP BEGINBEGIN: ;选流程MOV DX,PA_ADD MOV AL,1 XLAT OUT DX,ALcall dl3s ;等着按开关 K2 MOV DX,PB_ADDIN AL,DX CMP AL,01HJZ BEGIN1CMP AL,03HJZ BEGIN2BEGIN1:CALL WASH ;洗涤过程CALL TUOSHUI ;脱水过程CA

16、LL PIAOXI ;漂洗过程CALL TUOSHUI ;CALL PIAOXI ;CALL SHUAIGAN ;甩干过程jmp exitBEGIN2:CALL WASHCALL TUOSHUICALL PIAOXICALL SHUAIGANjmp exitWASH PROC NEAR mov AL,1XLATOUT DX,ALcall dl500msmov AL,0XLATOUT DX,ALMOV DX,PC_ADD MOV AL,20H ;打开进水阀OUT DX,AL CALL DL5Sjmp start5start5 :MOV DX,PB_ADD IN AL,DXCMP AL,04H ;

17、达到预定水位? 按开关3jnz start5MOV DX,PA_ADD ;洗涤状态标示 第五个灯亮MOV AL,77HOUTDX,ALCALLDELAYmov cx,3 ;洗涤过程中的三次循环jmp xididjxididj:call dianjimovcall dl3s loop xididjMOV DX,PA_ADDMOV AL,1XLATOUT DX,AL;红灯亮CALL DL3SMOV AL,0XLAT OUT DX,ALRETWASH ENDPTUOSHUI PROC NEARMOV DX,PB_ADDIN AL,DX;打开排水阀MOV DX,PA_ADD ;脱水状态标示 第六个灯亮

18、MOV AL,7BH OUTDX,ALCALLDELAY;PUSH CXMOV CX,75JMP ZHENGZHUAN1ZHENGZHUAN1:MOVDX,PC_ADDMOVAL,2XLAT ;从存储单元bx+al中取一个字节送到al寄存器OUTDX,AL;A通电 B、C、D断开,A相磁极和转子0、3号齿对齐,同时,转子的1、4号;齿就和B、C相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿;。初始状态CALLDELAY ;延迟500ms MOVAL,3XLATOUTDX,AL;AB通电CD断开时,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,;使转子转动,同时A相绕组的磁力线和

19、0、3号齿之间磁力线的作用 使1、4号齿不能和B相绕组的磁极对齐。因;此0、3号齿和A、B相绕组产生错齿,1、4号齿就和B、C相绕组磁极产生错齿,2、5号齿与C、D;产生错齿。(转动角度7.5)CALLDELAY ;延迟500msMOVAL,4XLATOUTDX,AL ;B通电 A、C、D断开,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,B相磁极和转子1、4号齿对齐,同时,转子的0、3号齿就和A、D相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿。(该次转动角度为7.5共转了15)CALLDELAY ;延迟500ms MOVAL,5;BC通电 旋转类推XLATOUT

20、DX,ALCALLDELAY ;延迟500ms MOVAL,6;C通电 旋转类推XLATOUTDX,ALCALLDELAY MOVAL,7;CD通电 旋转类推XLATOUTDX,ALCALLDELAY MOVAL,8;D通电 旋转类推XLATOUTDX,ALCALLDELAY MOVAL,9;DA通电 旋转类推XLATOUTDX,ALCALLDELAY LOOPZHENGZHUAN1JMP FINISH;5S后结束脱水FINISH:MOV DX,PA_ADD MOV AL,1XLATOUT DX,AL;红灯亮CALL DL500mSMOV AL,0XLAT OUT DX,ALRETTUOSHU

21、I ENDPPIAOXI PROC NEARmov AL,1XLATOUT DX,ALcall dl500msmov AL,0XLATOUT DX,ALMOV DX,PC_ADD MOV AL,20H ;打开进水阀OUT DX,AL CALL DL5SMOV DX,PA_ADD ;脱水状态标示 第七个灯亮MOV AL,7DHOUTDX,ALCALLDELAYmov cx,2 ;漂洗中的电机循环 2次jmp xididj1xididj1:call dianjimovcall dl3sloop xididj1MOV DX,PA_ADDMOV AL,1XLATOUT DX,AL;红灯亮CALL DL

22、500msMOV AL,0XLAT OUT DX,ALRETpiaoxi ENDPSHUAIGAN PROC NEARMOV DX,PC_ADD MOV AL,20HOUT DX,AL;电机停止MOV DX,PB_ADDIN AL,DX;打开排水阀MOV DX,PA_ADD ;甩干状态标示 最后一个灯亮MOV AL,7EHOUTDX,ALCALLDELAYMOV CX,150JMP ZHENGZHUAN2ZHENGZHUAN2:MOVDX,PC_ADDMOVAL,2XLAT ;从存储单元bx+al中取一个字节送到al寄存器OUTDX,AL;A通电 B、C、D断开,A相磁极和转子0、3号齿对齐,

23、同时,转子的1、4号;齿就和B、C相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿;。初始状态CALLDELAY1 ;延迟500ms MOVAL,3XLATOUTDX,AL;AB通电CD断开时,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,;使转子转动,同时A相绕组的磁力线和0、3号齿之间磁力线的作用 使1、4号齿不能和B相绕组的磁极对齐。因;此0、3号齿和A、B相绕组产生错齿,1、4号齿就和B、C相绕组磁极产生错齿,2、5号齿与C、D;产生错齿。(转动角度7.5)CALLDELAY1 ;延迟500msMOVAL,4XLATOUTDX,AL ;B通电 A、C、D断开,由于B相绕组

24、的磁力线和1、4号齿之间磁力线的作用,使转子转动,B相磁极和转子1、4号齿对齐,同时,转子的0、3号齿就和A、D相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿。(该次转动角度为7.5共转了15)CALLDELAY1 ;延迟500ms MOVAL,5;BC通电 旋转类推XLATOUTDX,ALCALLDELAY1 ;延迟500ms MOVAL,6;C通电 旋转类推XLATOUTDX,ALCALLDELAY1 ;延迟500msMOVAL,7;CD通电 旋转类推XLATOUTDX,ALCALLDELAY1 ;延迟500ms MOVAL,8;D通电 旋转类推XLATOUTDX,ALCALL

25、DELAY1 ;延迟500ms MOVAL,9;DA通电 旋转类推XLATOUTDX,ALCALLDELAY1 ;延迟500ms LOOPZHENGZHUAN2FINISH1:MOV DX,PA_ADD MOV AL,1XLATOUT DX,AL;红灯亮JMP BAOJING ;报警结束BAOJING: MOV DX,PC_ADDMOV AL,00Hout dx,alcall dl500msmov al,0ffhOUT DX,AL ;蜂鸣JMP EXITshuaigan endpdianjimov proc nearpush cx MOV CX ,50JMP ZHENGZHUAN ZHENGZ

26、HUAN:MOVDX,PC_ADDMOVAL,2XLAT ;从存储单元bx+al中取一个字节送到al寄存器OUTDX,AL;A通电 B、C、D断开,A相磁极和转子0、3号齿对齐,同时,转子的1、4号;齿就和B、C相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿;。初始状态CALLDELAY ;延迟500ms MOVAL,3XLATOUTDX,AL;AB通电CD断开时,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,;使转子转动,同时A相绕组的磁力线和0、3号齿之间磁力线的作用 使1、4号齿不能和B相绕组的磁极对齐。因;此0、3号齿和A、B相绕组产生错齿,1、4号齿就和B、C相绕组磁

27、极产生错齿,2、5号齿与C、D;产生错齿。(转动角度7.5)CALLDELAY ;延迟500msMOVAL,4XLATOUTDX,AL ;B通电 A、C、D断开,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,B相磁极和转子1、4号齿对齐,同时,转子的0、3号齿就和A、D相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿。(该次转动角度为7.5共转了15)CALLDELAY ;延迟500ms MOVAL,5;BC通电 旋转类推XLATOUTDX,ALCALLDELAY ;延迟500ms MOVAL,6;C通电 旋转类推XLATOUTDX,ALCALLDELAY ;延迟50

28、0msMOVAL,7;CD通电 旋转类推XLATOUTDX,ALCALLDELAY ;延迟500ms MOVAL,8;D通电 旋转类推XLATOUTDX,ALCALLDELAY ;延迟500ms MOVAL,9;DA通电 旋转类推XLATOUTDX,ALCALLDELAY ;延迟500ms LOOPZHENGZHUANCALL DL3S mov cx ,50 jmp fanzhuanfanzhuan: MOVDX,PC_ADD MOVAL,9;DA通电 旋转类推 XLAT OUTDX,AL CALLDELAY MOVAL,8;D通电 旋转类推XLATOUTDX,ALCALLDELAYMOVAL

29、,7;CD通电 旋转类推XLATOUTDX,ALCALLDELAY MOVAL,6;C通电 旋转类推XLATOUTDX,ALCALLDELAYMOVAL,5;BC通电 旋转类推XLATOUTDX,ALCALLDELAY MOVAL,4XLATOUTDX,AL ;B通电 A、C、D断开,由于B相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,B相磁极和转子1、4号齿对齐,同时,转子的0、3号齿就和A、D相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿。(该次转动角度为7.5共转了15)CALLDELAYMOVAL,3XLATOUTDX,AL;AB通电CD断开时,由于B相绕组的磁力

30、线和1、4号齿之间磁力线的作用,;使转子转动,同时A相绕组的磁力线和0、3号齿之间磁力线的作用 使1、4号齿不能和B相绕组的磁极对齐。因;此0、3号齿和A、B相绕组产生错齿,1、4号齿就和B、C相绕组磁极产生错齿,2、5号齿与C、D;产生错齿。(转动角度7.5)CALLDELAYMOVAL,2XLAT ;从存储单元bx+al中取一个字节送到al寄存器OUTDX,AL;A通电 B、C、D断开,A相磁极和转子0、3号齿对齐,同时,转子的1、4号;齿就和B、C相绕组磁极产生错齿,2、5号齿就和C、D相绕组磁极产生错齿;。初始状态CALLDELAY LOOP fanzhuanpop cxdianjim

31、ov endpDL500msPROCNEARPUSHAXPUSHDXMOVDX,500;延时500msMOVAH,0FFH;星研公司提供的软中断INT21HPOPDXPOPAXRETDL500msENDPDL100msPROCNEARPUSHAXPUSHDXMOVDX,100;延时500msMOVAH,0FFH;星研公司提供的软中断INT21HPOPDXPOPAXRETDL100msENDPDL1msPROCNEARPUSHAXPUSHDXMOVDX,1;延时500msMOVAH,0FFH;星研公司提供的软中断INT21HPOPDXPOPAXRETDL1msENDPDELAYPROCNEARP

32、USH CXMOV CX,55000L: NOP LOOP LPOP CXRETDELAYENDPDELAY1PROC NEARPUSH CXMOVCX,50000M:NOPLOOPMPOP CXRETDELAY1 ENDPDL3SPROCNEARPUSHCXMOVCX,6DL3S1:CALLDL500msLOOPDL3S1POPCXRETENDPDL5SPROCNEARPUSHCXMOVCX,10DL5S1:CALLDL500msLOOPDL5S1POPCXRETENDPInitPCIPROCNEARMOVAH,00HMOVAL,03HINT10H;清屏MOVAH,0B1HMOVAL,01H

33、INT1AHCMPAH,0JZInitPCI2LEADX,msg0InitPCI1:MOVAH,09HINT21HJMPExitInitPCI2:MOVAH,0B1HMOVAL,02HMOVCX,Device_IDMOVDX,Vendor_IDMOVSI,0INT1AHJNCInitPCI3;是否存在Star PCI9052板卡LEADX,msg1JMPInitPCI1InitPCI3:MOVDI,PCIBAR3MOVAH,0B1HMOVAL,09HINT1AH;读取该卡PCI9052基地址JNCInitPCI4LEADX,msg2JMPInitPCI1InitPCI4:ANDCX,0FFFC

34、HMOVIO_Bit8_BaseAddress,CXRETInitPCIENDPModifyAddressPROCNEARADDCOM_ADD,CXADDPA_ADD,CXADDPB_ADD,CXADDPC_ADD,CXRETModifyAddressENDPExit:MOVAH,4CHINT21HENDSTART四上机调试过程1硬件调试在开始的设计中,我使用了直流电机来实现电机的转动,但后发现这样无法实现对电机的转反转控制,所以后来通过进一步论证采用了步进电机。2.软件调试初步实现功能后,由于程序过于复杂,太多没有必要的跳转,所以对程序进行了简化,将多个部分写入了子程序中,然后在主程序中进行调用。3.联机调试:联机调试,通过单步测试和断点调试,根据数码管显示的数据判断程序的问题:1) 打开主持人开关,数码管显示1后没有循环显示2,而是8,检查程序后发现,xlat命令是将BX的内容(代码首地址)与AL的内容(表格偏移量)相加作为有效地址,并从中取出此地址单元中的内容送到AL中,故AL中数据变换了,而程序要求循环显示18,Al应保持从0开始,始终加1,这就要保存AL的内容。2) 继续调试,之间的都是一些判断出错问题,一一单步调试解决,程序通过。程序全速运行还有一些细节问题,就是全速运行时候LED小灯开始时刻不亮,而且开始时候的蜂鸣器也不响,后来通过延时的改变,解决了这一个问题。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!