NIOSII开发资料汇总

上传人:lis****211 文档编号:52548806 上传时间:2022-02-08 格式:DOC 页数:19 大小:401.50KB
收藏 版权申诉 举报 下载
NIOSII开发资料汇总_第1页
第1页 / 共19页
NIOSII开发资料汇总_第2页
第2页 / 共19页
NIOSII开发资料汇总_第3页
第3页 / 共19页
资源描述:

《NIOSII开发资料汇总》由会员分享,可在线阅读,更多相关《NIOSII开发资料汇总(19页珍藏版)》请在装配图网上搜索。

1、NIOS II开发常见问题1.怎样在 TCL脚本分配管脚source .tcl# source stratix_pin_assign.tclcouldnt read file stratix_pin_assign.tcl: no such file ordirectory有点问题:答: From the Tools menu select Tcl s cripts, and then from the project folder choose the setup s cript for your particular development board, and click Run.2D:T

2、EST ios_sst60下载时出现错误:Error: Cant configure device. Expected JTAG ID code 0x020010DD for device 1, but found JTAG ID code 0x020B40DD.答: SOPC所选器件和开发板上的不一致。3在 NOIS II中 Bulid 例程 hello_world都出现了错误,错误提示为:gdrive/c/altera/kits/nios2/components/altera_nios2/HAL/src/alt_busy_sleep.c:68: error: parse error bef

3、ore / token等错误全部由alt_busy_sleep.c 引起,都是关于括号不匹配的问题,而 alt_busy_sleep.c 是 IDE 中的一个默认程序。这个错误同样出现在 D:TESTDE2Projectsoftware ios2 ,培训用的一个简单实验。答:找到 system.h 文件,里面有个关于系统时钟频率的设置项,应该是没有赋值,你手动赋值。比如你用50MHZ的时钟,就设成50000000。如果总是出现上述问题,可能和软件有关系,建议重新安装软件。注意 quartus 和NIOS安装版本一定要相同 , 不能混装。4这个错误是什么原因引起,把那个sdk_arm 删除后,又

4、提示另一个地方出错。答:运行以解决问题。NIOS II IDE,点Project-Clear,重新Builde,应该可5在SOPC中Generate出现如下错误是怎么回事? Error: Generatorprogram for module epcs_controller did NOT run successfully.只要在 SOPC中加入 epcs_controller 就会出现此错误,无法生成一个元件。答:可能和软件有关系,建议重新安装软件 答:按 F1 可以查看帮助,出现这样的错误的原因是:CAUSE: You assigned two or more pins to the sp

5、ecified location, butthe Fitter cannot place all the pins in that location.可。有一种比较简便的方法,就是在项目目录中找到一个后缀为置文件,查找 Pin_AE24 删除那行语句就行了。删除这个管脚即QSF的管脚配8. 如何在 NIOS II IDE下跟踪查看变量的定义或者函数的定义?答:按住 CTRL键,鼠标移动到变量或者函数名的地方,就可以发现这些地方高亮显示,单击就可以进入到变量或者函数定义的地方。9. 在 count_binary.c 有这样一段程序,它是如何操作的? data = segmentshex & 15

6、 | (segments(hex 4 & 15 unsigned int答: segmentshex & 15显示个位0F;(segments(hex 4 & 15 显示十位数 0F,个位 0F,然后十位加一。10这个错误是由什么引起?提示 LED_PIO_BASE没有声明答:这是因为名字不一致引起的比如,在生成PIO(Parallel I/O(在 Avalon Modules - OtherSOPC系统时,双击下),为系统添加输出接口,你没有把该组件改名成 LED_PIO,而是保留了原始的名字: PIO_0;但你又通过IOWR_ALTERA_AVALON_PIO_DATA(LED_PIO_B

7、ASE,。led来向该组件写入数据,就会导致上述错误。解决办法: 1. 可以修改 sopc 系统,为该 PIO 改名为 LED_PIO 。2. 在 hello_led.c 的前面给 LED_PIO_BASE赋值,如 #define LED_PIO_BASE 0x00001800,后面的这个地址要与 SOPC中的地址对应。11. 如何在 NIOS II 中驱动外部芯片或设备 ?答:在开发过程中,我们经常会使用外接一些芯片,或外接一些实用电路,比如 AD芯片、串并转换芯片等等,那么如何在 NIOS II 中去使用这些芯片呢? 我们在开发中会有多个选择:1 如果这个芯片是使用数据和地址总线的,并且补

8、线时没有足够的单独引脚,那么这个芯片必须挂接在 tri_stat 总线上,这种用法和我们以往单片机或 MCU 类似,在 SOPC Builder 中直接定义用户逻辑,如果有额外的控制引脚,就需要用 PIO 来驱动,虽然公用数据地址线节省了引脚,但做控制时序费劲了。2 如果这个芯片单独使用数据和地址,那么我们会直接做成 Avalone 总线的 Slave 设备,在 Sopc Builder 中自己定义 component。需要写 HDL模块,自己定控制、状态、数据寄存器和控制位定义,这种方式控制灵活,易于实现复杂的控制时序。3 在 Quartus II 项目中画电路模块 BUTTON_PIO_I

9、RQ的值如何给他定义?答:这个错误可能是在 sopc builder 中定制的 pio 端口名称是否与程序中用的不一致,要和程序里的一致,把 pio 组件的名称就改为 button_pio 。14. 在 Quartus II 中编译时出现如下错误:Error: Node instance cpu_bht instantiates undefined entitycpu_bht_module点击错误的地方弹出一个对话框这个错误是怎么回事?怎样解决?答:可能是在 SOPC中所选的 CPU型号不正确,换一个试试。15. 怎样自动分配管脚?答:在 Quartus II自动分配管脚有一个方法,点击Ass

10、ignments-Import Assginments, 导入一个管脚分配文件,后缀为 .csv .txt等,前提是在顶层原理图中各个输入输出的名称要和这个文件中的名称一致。16. 在 NIOS II 中编译时出现如下错误怎么解决?错误是不是由SOPC中的 RAM引起?region ram is full (count_binary.elf section .text. Region needs to be 24672 bytes larger.address 0x80c1f8 of count_binary.elf section .rwdata is not within region r

11、amUnable to reach edge_capture (at 0x00800024 from the global pointer (at 0x0081419c because the offset (-82296 is out of the allowed range, -32678 to 32767.答:可能时 RAM的大小不够,也有可能是中断地址 (exception address 的偏余量不够,设置大些就可以了。如果还是出现这个问题,加一个SDRAM试试。17在 Quartus II 中,为什么编译一些样板项目都会出错? Error: DDR timing cannot be

12、 verified until project has been successfully compiled.Error: Evaluation of Tcl s cript auto_verify_ddr_timing.tcl unsuccessfulError: Quartus II Shell was unsuccessful. 2 errors, 1 warning答:在做样板项目时要注意一个问题: Due to the library paths that are coded into the Quartus settings for this project, if a user

13、wishes to modify the hardware design they must first strip out anyold paths from within the project settings file (qsf在 qsf 文件中找到类似语句set_global_assignment-nameVHDL_FILE C:/MegaCore/ddr_ddr2_sdram-v3.2.0/lib/auk_ddr_tb_functions.vhd这里的目录是初始的目录,把它改为正确的目录。18. 在 NIOS II IDE 编译时出现如下错误是怎么回事?system_des cri

14、ption/alt_sys_init.c:75: error: ONCHIP_MEMORY_BASE undeclared here (not in a functionsystem_des cription/alt_sys_init.c:75: error: initializer element is not constantsystem_des cription/alt_sys_init.c:75: error: (near initialization for ext_flash.dev.writesystem_des cription/alt_sys_init.c:75: error

15、: initializer element is not constantsystem_des cription/alt_sys_init.c:75: error: (near initialization for ext_flash.dev.read答: ONCHIP_MEMORY_BASE没有赋值,在 alt_sys_init.c程序的开头加上 #define ONCHIP_MEMORY_BASE 0x00000000后面的这个地址要与 SOPC中的对应。19. 在 NIOS II IDE 编译时出现如下错误是怎么回事?Pausing target processor: not respo

16、nding.Resetting and trying again: FAILEDLeaving target processor paused答:以下是一位 FAE的回答:1.关于 USB-Blaster 在 Nios II IDE下载时会发生偶发性错误,这种现象主要是 IDE software 与 Nios II CPU 透过 USB-Blaster 在做通讯时发生错误,若是确认 FPG上配置没有错误,连续发生错误的机率应该是相当的低,您只需要重新下载即可。2. 若您使用 Nios II IDE 6.0 ,请尽量配合 SOPC Builder 6.0 重新build减少您的 system,并

17、且使用 Quartus II 6.0重新 compile 您的 project,以CPU与 IDE software不兼容的情形。20. 在 NIOS II IDE中项目的思? .text .rodata .rwdata什么?System Library选项中的这几个选项代表什么意与 reset .exception这几个地址之间的关系是答: .text :代码区 .rodata:只读数据区,一般存放静态全局变量 .rwdata: 可读写变量数据区另外还有 .bss: 存放未被初始化的变量。 .text the actual executable code .rodata any read o

18、nly data used in the execution of thecode .rwdata where read/write variables and pointers arestored heap where dynamically allocated memory is located stack where function call parameters and other temporary data is stored21. 怎样在 NIOS II 中操作 PIO,提供一种参考方法。答: hello_led.c 是这样写 IO 口的:IOWR_ALTERA_AVALON_

19、PIO_DATA(LED_PIO_BASE,。led首先在 altera_avalon_pio_regs.h 找到定义 i nclude #define IORD_ALTERA_AVALON_PIO_DATA(base IORD(base, 0#define IOWR_ALTERA_AVALON_PIO_DATA(base, data IOWR(base, 0, data因此在 NIOSII 中可以调用 i nclude 库函数 IORD/IOWR来操作在 smallsoftwarehello_led_0_syslibDebugsystem_des cription下的PIO。system.h

20、中 , 有以下内容 :#define LED_PIO_TYPE altera_avalon_pio#define LED_PIO_BASE 0x00004000其中 LED_PIO_BASE最后用 IOWR(0x00004000, 0, led 。替代IOWR_ALTERA_AVALON_PIO_DATA(LED_PIO_BASE,。led编译 , 下载到开发板上 ,运行成功!22. 怎样让 SDRAM和 FLASH,SRAM的地址公用?答: sdram 可以和 sram,flash共用数据总线和地址总线. 在 sopcbuilder中添加 SDRAM控制器时,在其share pins via

21、 tristate选择项上勾上 Controller shares dq/dqm/addr IO pins选项,这样 sdram 可以和sram,flash 共用数据总线和地址总线 . 但是 ATERA不推荐这样做,因为这样会降低 SDRAM控制器的性能,在 FPGA芯片管脚资源比较紧张的时候迫不得已才这样做。23. 怎样使用 DE2板上的数码管,绑定管脚时需要注意什么?请举一个例子说明。答: DE2 板上的数码管只用了 7 位,小数点位默认为高电平。例如,在做例程 count_binary时,需要用到两个数码管,以实现00 到 FF 的计数,在SOPC添加一个 16 位的 PIO SEVEN

22、_SEG15.0,绑定管脚时注意:使SEVEN_SEG0.6帮定到数码管 HEX06.0 位, SEVEN_SEG8. 14绑定到数码管 HEX16.0 位, SEVEN_SEG7和 SEVEN_SEG15直接接 VCC,这样才能让两个数码管正常显示并计数。24. 在 NIOS II IDE 中调试,编译通过的软件时,出现了下面的提示,是什么原因?Using cable ByteBlasterII LPT1, device 1, instance 0x00 Processor is already pausedDownloading 00000000 ( 0% Downloaded 57KB

23、in 1.2s (47.5KB/s Verifying 00000000 ( 0%Verify failedLeaving target processor paused答: Verify failed 这个问题说明板子的复位电路可能有问题,或装载程序的外部 SRAM或 SDRAM和 CPU 的连接或时序有问题 , 也有可能是软件偶尔的错误。若是软件偶尔的错误 , 可以先复位一下 CPU,然后再下载程序。或者断电后重新下载 .sof 和 NIOS II 程序25. 在练习 C:alterakits ios2_51examplesverilog niosII_cycloneII_2c35的项目时

24、,里面有很多元件 DE2板上都没有对应的管脚,比如网络元件 lan91c111 ,使用的 IP 核,还有 24 位的 ext_flash ,而 DE2板上的 FLASH只有 22 位。是不是 SOPC中只能让 DE2板上有对应管脚的元件存在,其它的只能删除?答:这个目录下的example 是针对 altera自己的板子的,和DE2硬件不能对应,只能作为参考,或者做一些修改后用。26. 在 SOPC中加了一个 200KB的 onchip_memory , 为什么在 Quartus II编译时出现这个错误?Error: Selected device has 105 RAM location(s

25、of type M4K RAM.However, the current design needs more than 105 to successfully fit答: SOPC中的 onchip_memory 和 M4K RAM根本就不是一个概念。Quartus II 中编译出现这个错误,是因为设计中用到了太多的M4K。27. 关于 sopc-builder中 reset address 的设置,一直搞得不是很明白。答: SOPC中的 reset address指定的是最终全部软件程序代码下载到的地方,并且程序从 reset address启动。SOPC中的 exception addre

26、ss指定的是系统异常处理代码存放的地方。如果exception address和 reset address不一样,那么程序从 reset address 启动后将把放在 reset address处的系统异常处理代码拷贝到 exceptionaddress。NIOS II软件中的 text address指定的是程序运行的地方。如果text address和 reset address不一样,那么程序从 reset address启动后将把放在 resetaddress处的普通只读程序代码拷贝到text address。 NIOS II 软件中的rodata address 指定的是只读数据

27、的存放地方。如果rodata address和 resetaddress不一样,那么程序从 reset address 启动后将把放在 reset address处的只读数据拷贝到 rodata address。NIOS II软件中的 rwdata address指定的是可读写数据的存放地方。如果rwdata address 和 reset address不一样,那么程序从 reset address启动后将初始化 rwdata address 处的可读写数据。28. 如何提高 NIOS II 系统的性能?答:主要可以从这几个方面入手:1 、使用 fast CPU 类型。2 、提高系统主频。3

28、 、优先在 SRAM中运行程序, SDRAM次之,最后选择 FLASH中运行。4 、使用片内 RAM作为数据缓冲,片外 SRAM次之,最后选 SDRAM。5 、IO 数据传输尽可能采用DMA。6 、对能并行处理的数据考虑使用多CPU协同处理。7 、典型算法做成用户指令,有256 条可以做,足够你用的。8 、能用 HDL模块来完成工作吗?能,就用HDL模块做成外设来完成吧9 、采用 C2H。29. 如何优化 NIOS II 里的应用项目?答: Optimize your Nios II application design!1.Creat an Blank Project:Select Alte

29、ra Nios II C/C+Application2. In main.cyour main fuctional c/c+ file: i nclude system.h i nclude alt_types.h#.int main (void _attribute_ (weak, alias (alt_mainint alt_main (void。.return 0。3.System Library Properties OptionsSelect Clean EXITSelect Small C LibrarySelect Reduced Device DriversSpicify li

30、nker s cript position, to ext_flash, ext_ram or on-chip-ram30.关于 verify failed的总结1. SDRAM的时序不对有时候不正确的 pll clock phase shift for sdram_clk_outSDRAM不能正常工作 :就会导致2. SDRAM的连线不对 , 物理板子的连线问题3. 在调试的时候 , 程序下载的空间不是非易丢失存储器 时,有一个参数为 alt_dma_tx_only_on等2 调用 alt_dma_txchan_send 函数时,在传输结束前就返回一个值,如果此值为负的话,说明发送请求失败。

31、正确传输结束后,调用done 函数。3 接收函数 alt_dma_rxthan_prepare4 传输结束,有两种可能:数据传完或者类似上面的 1 和 2end of packet要预先使能)5 Sopc builder中例化时要制定哪些可以访问DMA的主端口, DMA的avalon slave端口要接 cpu。实际传输的最大数可以帮助确定设置的位数6 alt_dma_txchan_ioctl用于控制 dma的一些工作性质,使用多的话可以用信号量等来“抢占”7 dma传输最小应该传4 字或者其倍数。32. 1.建立CPU时,下面的那个HardWare Multiply里面可以选Embedded

32、Multipliers, Logic Elements , None,这三个选项有什么区别呀?答: Embedded Multipliers,使用专门的内嵌硬件乘法单元不可编程,仅能做乘法,且乘法速度最快),不是RAM。 Logic Elements ,使用逻辑单元也就是 FPGA中的查找表 Project 时并没有出现 Microtronx NIOS II 选项呢?答:这个问题好像难倒过很多人,其实在运行 nios2linux-1.4 安装的第一步,里面有个提示:Information Regarding the Installation ProcedureIMPORTANT: Please

33、 ensure that you specify the correct path for the Altera Nios II Kit installation directory and the Cygwin root directory. The typical Altera Nios II Kit directory is:c:alterakits ios2The typical Cygwin root directory is:c:alteraquartus50 incygwin问题的关键就是上面的路径不正确。对于 Nios 5.1 和 6.0 的路径分别为 nios_51 和 ni

34、os_60, 都不是 nios2 ,所以安装后找不到模板项目很正常。但它的一些必要文件又确实是安装在了 nios_51 或 nios_60 中。我也遇到了过同样的问题,想到了一个简单的方法可以解决:1. 把文件夹 nios_51 或 nios_60 改为 nios2 ,启动 Nios II ,就可以看到所需模板项目。2. 退出 Nios II ,把文件夹名还原。3. 再次启动 Nios II ,你会发现模板项目依然还在。35Nios II汉化解决方案答: NIOS II IDE实际上是在 eclipse平台上的一个应用插件,而Eclipse 是一个开放源代码的软件开发项目,专注于为高度集成的工

35、具开发提供一个全功能的、具有商业品质的工业平台。可访问如下网站:1.Eclipse官方网站2. 中国 Eclipse社区下面介绍如何汉化 NIOS IIabout NIOS II IDE,会看到有关 NIOS的版权信息。可以看到NIOS II 5.1的版本上的 Eclipse版本是 Eclipse 3.0.12. 在 Eclipse官方网站上找到 Eclipse 3.0.1多国语言包 NLpack-eclipse-SDK-3.0.x-win32.zip3. 在 NIOS II 的安装目录中,你可以找到一个 eclipse 目录,如:C:alterakits ios2 ineclipse ,在这

36、个目录下新建 2 个文件夹 language 和 links4.将 NLpack1-eclipse-SDK-3.1.1a-win32.zip解压到 language 目录下5.在 links 文件夹下用记事本新建一个文件, 取名为 link.link(必须是此扩展名 6. 在 language.link里输入代码如下 :path=c:/altera/kits/nios2/bin/eclipse/language保存此文件。7. 汉化完成。说明,这种方法只是汉化了 eclipse ,对 NIOS II 没有汉化,但这个软件已经大部分为中文了,因为 NIOS II 只是 eclipse 一个插件。

37、对于我们新手来说这还是必要的。Nios II编辑2004 年, Altera 正式推出了Nios II 系列 32位 RISC 嵌入式处理器。Nios II 系列软核处理器 是 Altera 的第二代FPGA 嵌入式处理器 ,其性能超过 200DMIPS ,在 AlteraFPGA 中实现仅需 35美分。 Altera 的 Stratix 、 Stratix GX 、 Stratix II和 Cyclone系列 FPGA 全面支持 Nios II 处理器,以后推出的FPGA 器件也将支持Nios II 。1自 Altera2Nios分类3Nios系列4嵌入式处理器特性5特性 说明6构架及特性7

38、设计资源8处理器系列9启动方案的软件设计10 结语11实现复杂的状态机12 全面的 SOPC 解决方案13 嵌入式软件工具自 Altera 编辑于 2000 年推出第一代16位 Nios 处理器以来,已经交付了13000 多套 Nios 开发套件,Nios 成为最流行的 软核处理器 。于 2004 年 6 月推出的Nios 系列采用全新的架构,比第一代 Nios具有更高水平的效率和性能。和第一代相比,Nios核平均占用不到FPGA 资源,而计算性能增长了1 倍。50%的Nios编辑分类Nios 系列包括 3种产品,分别是: Nios /f 快速)最高的系统性能,中等FPGA 使用量;Nios

39、/s 标准)高性能,低FPGA 使用量; Nios /e 经济)低性能,最低的FPGA使用量。这 3种产品具有 32 位处理器 的基本结构单元32位指令大小, 32 位数据和地址路径, 32 位通用寄存器 和 32 个外部中断源;使用同样的指令集架构 ISA ), 100%二进制代码兼容,设计者可以根据系统需求的变化更改CPU ,选择满足性能和成本的最佳方案,而不会影响已有的 软件 投入。特别是, Nios系列支持使用专用指令。专用指令是用户增加的硬件模块,它增加了算术逻辑单元 ALU )。用户能为系统中使用的每个 Nios 处理器创建多达 256 个专用指令,这使得设计者能够细致地调整系统硬

40、件以满足性能目标。专用指令逻辑和本身 Nios 指令相同,能够从多达两个源寄存器取值,可选择将结果写回目标寄存器。同时, Nios 系列支持 60 多个外设选项,开发者能够选择合适的外设,获得最合适的处理器、外设和接口组合,而不必支付根本不使用的硅片功能。Nios系列编辑能够满足任何应用32 位嵌入式微处理器的需要,客户可以将第一代Nios处理器设计移植到某种Nios 处理器上, Altera将长期支持现有FPGA系列上的第一代Nios 处理器。另外, Altera提供了一键式移植选项,可以升级至Nios 系列。 Nios处理器也能够在HardCopy器件中实现,Altera 还为基于Nios

41、 处理器的系统提供ASIC的移植方式。Nios 处理器具有完善的软件 开发套件,包括编译器 、集成开发环境IDE )、 JTAG调试器、 实时操作系统 RTOS )和 TCP/IP协议 栈。设计者能够用AlteraQuartus开发软件 中的SOPCBuilder系统开发工具很容易地创建专用的处理器系统,并能够根据系统的需求添加Nios 处理器核的数量。使用 Nios 软件开发工具能够为 Nios系统构建软件,即一键式自动生成适用于系统硬件的专用C/C+ 运行环境。 Nios 集成开发环境IDE )提供了许多软件 模板,简化了 项 目设 置。 此外 , Nios 开 发 套件 包括 两个第三

42、方 实 时操 作系 统 RTOS )MicroC/OS- Micrium ), Nucleus Plus(ATI/Mentor)以及供网络应用使用的TCP/IP 协议栈。长期以来, Altera一直推行 嵌入式处理器 战略的原因是,随着应用的ASIC开发日益受到成本的困扰, OEM日渐转向 FPGA来构建自己的系统。这些系统中绝大多数需要一个处理器,而Altera 正是为设计者提供了为FPGA 优化的灵活的 嵌入式处理器 方案,可以满足 16 位和 32 位嵌入式处理器市场的需求。估计到2007 年,该市场价值将到达110 亿美元。在 FPGA中使用 软核处理器 比硬核的优势在于,硬核实现没有

43、灵活性,通常无法使用最新的技术。随着系统日益先进,基于标准处理器的方案会被淘汰,而基于Nios 处理器的方案是基于HDL 源码构建的,能够修改以满足新的系统需求,避免了被淘汰的命运。将处理器实现为HDL 的 IP 核,开发者能够完全定制CPU 和外设,获得恰好满足需求的处理器。嵌入式处理器特性 编辑嵌入式处理器Nios系列为AlteraFPGA 和可编程 片上系统 (SOPC )的集成应用专门做了优化。表1 详细描述了Nios 软核 嵌入式处理器 系列的特性,更多通用信息请参阅Nios 简介页面。表 1. Nios 嵌入处理器系列特性特性 说明编辑设计流程及工具硬件开发工具本页面详列了搭建Ni

44、os 处理器硬件系统所用到的开发工具。软件开发工具本页面提供了Nios 集成开发环境IDE )的相关信息,这是一种开发人员广泛应用的,包含编辑、编译和调试应用软件 等功能的集成开发环境。开发套件Altera及其合作伙伴提供了大量应用了Nios 系列 嵌入式处理器的开发板套件。系统级设计流程Altera种架构可以是包含一个或几个统。的 SOPCBuilder 工具提供了快速搭建SOPC 系统的能力,这CPU ,提供 存储器 接口,外围设备和系统互连逻辑的复杂系构架及特性 编辑Nios 处理器核 Nios 处理器系列由三个不同的内核组成,可以灵活地控制成本和性能,从而拥有广泛的应用空间。JTAG

45、调试模块 JTAG 调试模块提供了通过远端 PC 主机实现 Nios 处理器的在芯片控制、调试和通讯功能,这是 Nios 处理器的一个极具竞争力的特性。用户指令 开发人员可以在 Nios CPU 核内增加硬件,用以执行复杂运算任务,为时序要求紧张的 软件 提供加速算法。外围设备及接口Nios开发套件包括一套标准外围设备库,在Altera的FPGA中可以免费使用。Avalon ?交换式总线Avalon交换式总线在处理器、外围设备和接口电路之间实现网络连接,并提供高带宽数据路径、多路和实时处理能力。Avalon交换式总线可以通过调用SOPC Builder设计 软件自动生成。设计资源 编辑Nios

46、 处理器支持 Nios 处理器支持页面提供了对 Nios 设计者有帮助的多种信息,其中包括使用许可、下载、参考设计、文档资料、在线展示及常见问题。嵌入式处理器方案中心嵌入式处理器方案中心提供了大量的信息以帮助开发人员应用Altera的嵌入式处理器实现系统设计。可获取的信息有器件支持、软件开发工具,外围设备及接口、培训、技术支持和资料。Nios 续订信息 Nios 开发套件包括一年期的 CPU 、外设和嵌入式 软件开发工具 的升级许可。 其中不包括 Quartus 软件 的升级。)客户可以通过 Nios 续订程序每年订购包括 Nios 处理器的升级等额外信息。Nios 嵌入式处理器 问与答页面

47、此页提供 Altera Nios 系列嵌入式处理器的常见问题及解答。Stratix 器件及Nios 处理器系列Stratix 器件结构的优异特性和Nios 嵌入式处理器 系列相结合,提供了无与伦比的处理能力,满足网络、通信、数据信号处理,为来自 Avalon 总线模块上的S1 端口的 时钟信号.aclr (s1_reset,为来自 Avalon 总线模块上的S1 端口的复位信号.q (s1_readdata,为流向 Avalon 总线模块的S1 端口的 32位数据.address (s1_address为来自于 Avalon 总线模块的S1 端口的地址);file:/ 控制寄存器读写端口S2

48、):control_register the control_register,为来自Avalon总线模块上的S2 端口的 时钟信号.reset (s2_reset,为来自 Avalon 总线模块上的S2 端口的复位信号.read (s2_read,为来自 Avalon 总线模块上的S2 端口的读使能信号.write (s2_write,为来自 Avalon 总线模块上的S2 端口的写使能信号.schipselect (s2_chipselect,为来自 Avalon 总线模块上的S2 端口的片选信号.address (s2_address,为来自Avalon总线模块上的S2 端口的地址.re

49、addata (s_readdata,为流向Avalon总线模块上的S2 端口的 32 位读数据.writedata (s2_writedata为来自Avalon总线模块上的S2 端口的 32 位写数据);启动方案的软件设计 编辑启动方案的软件设计目标是当系统复位后,在外部处理器向Nios程序存储器和数据存储器传输数据的过程中,外部处理器发出一条释放Nios Nios处理器运行要受到外部处理器的控制。当一切就绪后,处理器的命令,接下来 Nios 处理器就可以正常运行了。软件 部分主要就是存放在启动延迟模块中ROM的代码,此代码主要是检测启动延迟模块中 控制寄存器 2的第 0位是否为 1 。若为 1 ,则跳转到 控制寄存器1中所存储的地址处执行。若设控

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!