《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计

上传人:1888****888 文档编号:38216711 上传时间:2021-11-06 格式:DOC 页数:17 大小:352.02KB
收藏 版权申诉 举报 下载
《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计_第1页
第1页 / 共17页
《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计_第2页
第2页 / 共17页
《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计_第3页
第3页 / 共17页
资源描述:

《《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计》由会员分享,可在线阅读,更多相关《《电工电子综合》课程设计说明书三种图案霓虹灯控制器设计(17页珍藏版)》请在装配图网上搜索。

1、武汉理工大学电工电子综合课程设计说明书学学 号:号: 课课 程程 设设 计计题题 目目三种图案霓虹灯控制器设计三种图案霓虹灯控制器设计 学学 院院自动化学院自动化学院班班 级级姓姓 名名指导教师指导教师2011 年6月26日武汉理工大学电工电子综合课程设计说明书课程设计任务书课程设计任务书学生姓名:学生姓名: 专业班级:专业班级: 自动化自动化 指导教师:指导教师: 工作单位:工作单位: 自动化学院自动化学院 题题 目目: : 三种图案霓虹灯控制器设计三种图案霓虹灯控制器设计 初始条件:初始条件:1 运用所学的模拟电路和数字电路等知识;2 用到的元件:实验板、电源、连接导线、74 系列芯片、5

2、55 芯片等。要求完成的主要任务要求完成的主要任务: :1 现有 4 只彩灯,红绿蓝黄,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:2 摇摆状态 01011010,重复 6 次。3 暗点循环 01111011110111100111这样重复循环 3 次。4 逐个点亮,逐个熄灭,000010001100111011110111001100010000这样重复循环2 次。5 霓虹灯控制工作状态按照上述 2 至 4 步自动重复循环。时间间隔为 1 秒。6 严格按照课程设计说明书要求撰写课程设计说明书。时间安排:时间安排: 第 1 天 下达课程设计任务书,根据任

3、务书查找资料;第 24 天 进行方案论证,软件模拟仿真并确定设计方案; 第 5 天 提交电路图,经审查后领取元器件;第 68 天 组装电路并调试,检查错误并提出问题;第 911 天 结果分析整理,撰写课程设计报告,验收调试结果;第 1214 天 补充完成课程设计报告和答辩。指导教师签名:指导教师签名: 20112011 年年 6 6 月月 2626 日日系主任(或责任教师)签名:系主任(或责任教师)签名: 20112011 年年 6 6 月月 2626 日日武汉理工大学电工电子综合课程设计说明书第- - 1 - -页目录目录引言引言.- 1 -1 设计意义及要求设计意义及要求.- 2 -1.1

4、 设计意义.- 2 -1.2 设计要求.- 2 -2 方案设计方案设计.- 3 -2.1 设计思路.- 3 -2.2 设计方案.- 4 -2.3 方案比较.- 5 -3 部分电路设计部分电路设计.- 6 -3.1 计数器 .- 6-3.2 译码器.- 8 -4 调试与检测调试与检测.- 10 -5 体会与总结体会与总结.- 11 -参考文献参考文献.- 12-本科生课程设计成绩评定表本科生课程设计成绩评定表.- 13 -武汉理工大学电工电子综合课程设计说明书第- - 1 - -页引言引言在日常生活中,霓虹灯已经遍布所有城市和很多乡镇的大街,每当夜幕降临,华灯初上,五颜六色的霓虹灯就把城市装扮的

5、特别美丽。如今,撩人眼球、光彩耀目的霓虹灯已成为城市繁华程度的度量,也是商业建筑的一大广告、招牌。把一定数量的受控彩灯经过组合,可营造出多彩、流水般的动感场景,这对增强节日喜庆气氛、美化日常生活环境具有良好的效果。霓虹灯电路可由数字逻辑电路或者编程控制(目前社会上常用 Visual Basic 编程语言来编写相应的程序以控制霓虹灯的花样) 。而联系实际和运用所学的数字或模拟电子技术知识,学生可设计出各种霓虹灯控制电路。此次课程设计作为实践教学的一个重要环节,可达到提高学生的创新能力的作用。通过课程设计,学生不仅能加深对课本知识的理解,还能锻炼自己的动手能力和提高解决问题的能力。武汉理工大学电工

6、电子综合课程设计说明书第- - 2 - -页1 设计意义及要求设计意义及要求1.1 设计意义设计意义霓虹灯在生活中的发展和应用非常迅速,而彩灯的种类繁多,变化多样,因此设计彩灯控制电路有非常重大的实际意义。经本学期及上学期对模拟、数字电子技术的学习,学校安排了这次的电工电子实习,目的在与让学生综合运用所学的知识,对各基本器件的运用更加熟练,也更好的锻炼学生的设计思维和动手设计能力。同学通过此次的设计多个方案的设计,可以将所学知识转化为实物,得到更多种类、更多花样的彩灯控制电路,在收获成就感和满足感的同时,可以对设计的彩灯花样更具有创新意识。1.2 设计要求设计要求初始条件:初始条件:1 运用所

7、学的模拟电路和数字电路等知识;2 元件:实验板、电源、连接导线、74 系列芯片、555 芯片等。要求完成的主要任务要求完成的主要任务: :14 只彩灯,红绿蓝黄,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:2摇摆状态 01011010,重复 6 次。3暗点循环 01111011110111100111这样重复循环 3 次。4逐个点亮,逐个熄灭,000010001100111011110111001100010000这样重复循环2 次。5霓虹灯控制工作状态按照上述 2 至 4 步自动重复循环。时间间隔为 1 秒。严格按照课程设计说明书要求撰写课程设计说明书

8、。武汉理工大学电工电子综合课程设计说明书第- - 3 - -页2 方案设计方案设计2.1 设计思路设计思路 四盏灯,每盏灯每次只要两种状态,亮或灭,三种花样一共 40 种状态,将这 40 种状态按次序依次分配到三片译码器 74HC154 的输出端,每盏灯各亮 23 次,将每种状态中亮的灯与对应状态的选择器输出端通过与非门相连,通过两片计数器74160 控制这三个译码器,一片通过控制地址输入端使译码器的输出端从 D0 到 D15 依次输出,两一片则通过控制译码器使能端使其依次工作。原理框图:数据选择器图 2-1 利用译码器实现的原理:小灯的三种花样可以分别通过三个计数器实现,完成第一种花样需要十

9、二秒,第二种也需要十二秒,第三种需要十六秒,三种花样全部完成总共四十秒,而每种花样的循环次数也可以通过计数器来控制。四盏灯(红;绿;蓝;黄)数据选择器计数器计数器数据选择器武汉理工大学电工电子综合课程设计说明书第- - 4 - -页 2.2 设计方案设计方案原理图:A23B22C21D20E118E219011223344556677889910101111131214131514161517U174HC154A23B22C21D20E118E219011223344556677889910101111131214131514161517U374HC154A23B22C21D20E118E21

10、9011223344556677889910101111131214131514161517U474HC154D2LED-GREEND4LED-YELLOWD3LED-BLUED1LED-RED1U5NAND_12U6NAND_12U7NAND_12U8NAND_12U9NAND_12U10NAND_12U11NAND_12U12NAND_12D03Q014D14Q113D25Q212D36Q311RCO15ENP7ENT10CLK2LOAD9MR1U274160D03Q014D14Q113D25Q212D36Q311RCO15ENP7ENT10CLK2LOAD9MR1U15741611112

11、31U16:A7402564U16:B74028910U16:C7402111213U16:D740234U13:B740456U13:C74041312U13:D74041110U13:E7404112U13:A740498U13:F7404图 2-3 利用译码器实现的电路图 把三种花样的每一个状态都与译码器的输出端依次对应,利用计数器的计数功能将每个状态依次输出,再通过与非门将输出低电平变为高电平,小灯就能按照要求的花样依次亮起来。武汉理工大学电工电子综合课程设计说明书第- - 5 - -页原理图:D03D14D25D36SR2SL7CLK11S09S110MR1Q015Q114Q213Q

12、312U1741941012U2:A7407D015Q03D11Q12D210Q26D39Q37UP5TCU12DN4TCD13PL11MR14U374192134U2:B740712U5:A7404D03D14D25D36SR2SL7CLK11S09S110MR1Q015Q114Q213Q312U27419401D015Q03D11Q12D210Q26D39Q37UP5TCU12DN4TCD13PL11MR14U47419212U3:A7407134U3:B740756U6:C7404D1LED-REDD2LED-GREEND3LED-BLUED4LED-YELLOWD015Q03D11Q1

13、2D210Q26D39Q37UP5TCU12DN4TCD13PL11MR14U674192D03D14D25D36SR2SL7CLK11S09S110MR1Q015Q114Q213Q312U57419412U12:A7404123U8:A740812U9:A740712U10:A7404121312U7:A74273456U7:B7427910118U7:C7427121312U11:A742734U10:B740456U10:C74041312U10:D74041110U10:E74049108U8:C74080121311U8:D74080R4DC7Q3GND1VCC8TR2TH6CV5U

14、13555+15VC21uFR15.7k98U10:F7404R224.3kC11000uF2.3 方案比较方案比较两种方案相比,第一种原理思路简单,所用芯片较少,小灯闪烁效果稳定,但连线较多,若连成实物则过于复杂,方案二需要的芯片虽然较多,但整体连线不复杂,适合做成实物。武汉理工大学电工电子综合课程设计说明书第- - 6 - -页3 部分电路设计部分电路设计 3.1 计数器计数器1D03Q014D14Q113D25Q212D36Q311RCO15ENP7ENT10CLK2LOAD9MR1U274160D03Q014D14Q113D25Q212D36Q311RCO15ENP7ENT10CLK2

15、LOAD9MR1U15741610左边计数器的 Q1Q2Q3Q4 端分别与三个译码器的地址输入端 ABCD 依次相连,使得译码器输出端依次输出信号,进位输出端作为右边的时钟信号,使右边的计数器每隔 16 秒计数一次,右边译码器的 Q1Q2 端分别接到译码器的使能端,其中 Q1 经过一个非门与第二个译码器的 E1 端相连,Q2 经过一个非门与第三个译码器的 E2 端相连,以此来控制三个数据选择的工作顺序。管脚图:武汉理工大学电工电子综合课程设计说明书第- - 7 - -页功能表武汉理工大学电工电子综合课程设计说明书第- - 8 - -页3.2 译码器译码器A23B22C21D20E118E219

16、011223344556677889910101111131214131514161517U174HC154A23B22C21D20E118E219011223344556677889910101111131214131514161517U374HC154A23B22C21D20E118E219011223344556677889910101111131214131514161517U474HC15412U13:A740498U13:F7404引脚图:真值表:武汉理工大学电工电子综合课程设计说明书第- - 9 - -页当四十种状态全部完成时,将下一个输出端与计数器的清零端相连,使其重新开始循环

17、。武汉理工大学电工电子综合课程设计说明书第- - 10 - -页4 调试与检测调试与检测通过 Proteus 仿真软件进行仿真,发现设计方案中发现的一些问题:(1)开始选用的计数器是 74LS192,但在仿真时发现由于某种原因 192 只能进行十进制计数,经过重新调试后将 74LS192 用 74160 代替,能够进行十六进制计数。(2)花样循环时,每到第 16 种花样就会发生错误,经过认真观察循环过程发现,当右边计数器计数到 1111 时,其进位端同时由 0 变为 1,给左边计数器一个上升沿信号,Q0 由 0 变为 1,再经过一个非门使其 E1 端使能,此时第二个译码器开始工作,计数器的 1

18、111 信号实际上是输入到第二个译码器,所以第 16 个状态应该接到第二个译码器的 Y16 端,经过调整后,能按照要求花样进行循环。(3)Proteus 仿真软件中有许多管脚名字都很相似的元件,但有的元件在插入后发现有无法使用的情况,需要用别的元件代替。(4)Proteus 仿真软件中没有或门,当要用到或门时,必须要用与非门和非门同时使用来代替或门,增加的原理图的复杂程度。武汉理工大学电工电子综合课程设计说明书第- - 11 - -页5 体会与总结体会与总结刚拿到题目时,感觉题目很简单,三个花样分别在纸上画好电路图,简单学习了一下如何使用 Protues 后就开始仿真,但是不知道为什么就是无法

19、出现预期效果,检查电路图多次都没有问题,为什么仿真会失败呢?在和别的同学请教讨论后才知道,仿真要想成功,不能只考虑理想情况,电路在仿真时会出现多种不稳定情况,需要不断地调试。三种花样反别成功后再合并还需要加入防抖的元件,重新调试。由于选的题目相对复杂,所以小组方案花了两天多的时间才做好。接着就是做自己的方案,由于小组成员的方案都不能一样,所以想新的思路尤为重要,而我们目前所学的知识全部都来自课本,在思考新方法的时候,必须不断地看课本,看实验指导书,在这个过程中,无疑会使自己对数电的知识掌握的更加牢固,因为如果对各种芯片的功能和使用不熟悉,很难完成课程设计。由于是第一次使用 Protues 仿真

20、,过程中会不时的出现各种问题,眼看别的小组几个方案都成功了,心里很着急,曾几次想过放弃,但最终坚持下来,并且成功完成课程设计。看着自己四个彩灯按照要求一次闪烁,心里的成就感特别强烈。尽管设计原理图的过程曲折艰难,但最终靠着小组成员的共同努力,大家都成功的做出了自己的方案,这让我知道了团队合作的重要性。有了同伴的鼓励与帮助,自己才能学得更快更多,但这并不代表自己就可以什么都不做,只靠同伴,这样自己什么都学不到。通过这次课设,不仅让自己对数电知识有了更深入形象的了解与体会,更加锻炼了自己一向薄弱的动手能力,而且在以前学过 protell99 电气 CAD 等绘图仿真软件的基础上又学会了一种仿真软件

21、Protues。而在撰写课程设计说明书时发现,要想把芯片的工作原理,电路图的工作过程写清楚也不是那么容易的,而说明书的高要求还要对 word 有一定的基础,这无形中又是对自己的一次锻炼。总之,经过这次的课程设计,自己无论是在专业知识方面课外知识方面还是团队合作方面都有了很大的收获。武汉理工大学电工电子综合课程设计说明书第- - 12 - -页参考文献参考文献:1 康华光.电子技术基础-数字部分(第五版) ,高等教育出版,2006.12 郭少勇.实验电子技术,石油出版社3 王兰君.新编电工使用电路 500 例,河南科学技术出版社4 王源.使用电路基础,机械工业出版社 5 钟谊.电子线路实战,科学

22、出版社 6 何绪芃,曾发柞.脉冲与数字电路 .成都:电子科技大学出版社,2001.17 祁存荣,陈伟.电子技术基础实验(数字部分) 武汉理工大学教材中心8 邓勇.数字电路设计完全手册.北京: 国防工业出版社。9 梁宗善.新型集成电路的应用-电子技术基础课程设计,华中理工大学出版社,2007.1210 王兆安,黄俊.电力电子技术.北京:机械工业出版社,200011 苏玉刚,陈渝光.电力电子技术.重庆:重庆大学出版社,2003.412 张葛祥.MATLAB 仿真技术与应用.北京:清华大学大学出版社,200313 高吉祥. 电子技术基础实验与课程设计. 电子工业出版社,200214 王兴亮主编.现代

23、音响和调音技术.西安电子科技大学出版,200615 包亚萍主编.数字逻辑设计与数字电路实验技术.中国水利出版社,200316 朱宝华主编.电子测试与实验.清华大学出版社,2004.417 陈永甫主编.数字电路基础及快速识图.人民邮电出版社,2006.518 周惠朝.常用电子元件及典型应用.电子工业出版社,200519 刘修文主编.实用电子电路设计制作 300 例.中国电力出版社,2005武汉理工大学电工电子综合课程设计说明书第- - 13 - -页本科生课程设计成绩评定表本科生课程设计成绩评定表姓姓 名名李静李静性性 别别女女专业、班级专业、班级自动化学院自动化自动化学院自动化 0904课程设

24、计题目:课程设计题目:课程设计答辩或质疑记录:课程设计答辩或质疑记录:成绩评定依据:成绩评定依据:设计方案设计方案与内容与内容(30 分)分)制作与调试制作与调试(20 分)分)说明书内容说明书内容与规范程度与规范程度(30 分)分)答答 辩辩(10 分)分)学习态度学习态度与考勤与考勤(10 分)分)总总 分分(100 分)分)最终评定成绩(以优、良、中、及格、不及格评定)最终评定成绩(以优、良、中、及格、不及格评定)武汉理工大学电工电子综合课程设计说明书第- - 14 - -页指导教师签字:指导教师签字: 2011 年 7 月 12 日ut2ApOdfXXc02GyBKsKCWw97Mrq

25、qWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS

26、8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZ

27、xtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKK

28、lGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvr

29、w9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVY

30、AARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!