Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计

上传人:1888****888 文档编号:36171855 上传时间:2021-10-29 格式:DOC 页数:13 大小:441KB
收藏 版权申诉 举报 下载
Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计_第1页
第1页 / 共13页
Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计_第2页
第2页 / 共13页
Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计_第3页
第3页 / 共13页
资源描述:

《Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计》由会员分享,可在线阅读,更多相关《Verilog数字系统设计教程实验报告频率可变任意波形发生器的设计(13页珍藏版)》请在装配图网上搜索。

1、深 圳 大 学 实 验 报 告 课程名称: Verilog数字系统设计教程 实验项目名称: 频率可变任意波形发生器的设计 学院: 电子科学与技术 专业: 微电子 指导教师: 报告人: 学号: 2007160051 班级: 07级微电1班 实验时间: 2009-12-8 2010-1-11 实验报告提交时间: 2010-1-4 教务处制(这里可加前言摘要之类的东西自己想来写)1 设计原理DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。直接数字频率合成技术 (DDS)是一种以采样定理为基础的全数字化频率合成波形的方法 。DDS频率合成器主要由频率寄存器 、相位寄存器 (需要时可加入

2、 ) 、相位累加器 、波形存 储表 ( ROM ) , DAC转换器和模拟低通滤波器 ( LPF ) 等组成 。在系统时钟 ( SYSCLK)输入一定的情况下 ,频率寄存器中的频 率控制字决定系统输出频率 , 而相位累加器的位数决定了系统频率分辨率 。总体设计方案及其原理说明:FPGA图 1-1 系统总体设计方案相位累加器由 N 位加法器和 N 位累加寄存器级联而成 。每当系统时钟 SYSCL K产生一个上升沿 , N 位加法器将频率寄 存器中的频率控制字 ( FR EQDA TA )与上一个系统时钟累加寄 存器输出的累加相位数据相加 ,相加后的结果送累加寄存器 。 这样在系统时钟的作用下 ,

3、 不断对频率控制字进行线性相位 累加 ,相位累加器的溢出率就是 DD S任意波形发生器的输出 频率 。2 设计与实现实际上 DD S就是通过改变地址增量来达到控制输出频率 的目的 ,而波形存储器 ( ROM )是以相位为地址 ,存有一个或多个按相位划分幅值的波形幅度信息 。参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形(数模转换在这里不作要求)。P为频率字,即相位增量;参考频率为_clk;相位累加器的长度为位,输出频率_out为:式中F_out为输出

4、信号的频率;F_clk为基准时钟频率。N为相位累加器的位数;P为频率控制字(步长)。理论上通过设定F_clk、N和P就可以得到各种频率波形的输出。在本设计中 , 相位累加器位数 N =6, f_clk位 长 为 32, 系 统 时 钟 频 率 为 27M H z。3 各模块实现3. 1相位累加器在设计波形发生器时 , 要 实 现 频 率 可 变 ,相位累加器是关键的一个部分 。在 modelsim 开发环境下,本实验通过改变P的值来变换输出频率f_out。假设P初值为0,每个波形(正弦波、方波、正三角波、反三角波)的采样数据点的仿真数值输出,P累加一次,即P=P + n , n = 16 ;当

5、P = 56时,P置零,依此循环累加。频率的不同,即波形周期的不同,函数单周期内输出波形的量化数据的宽度也不一样。 (正弦波为例)如下图所示:(你用自己的方式表示我的是以这种方式你用其他方式吧,怕老师为难) 图1-2 正弦波的仿真输出如图,当P=16时,将P值代入公式,在本设计中 , 相位累加器位数 N = 6, f_clk位 长 为 32, 系 统 时 钟 频 率f_clk为 27M H z,则可计出输出频率f_out=16/64*27000000=6.75MHZ;同理可求出当P=32、48或64时对应的输出频率f_out。3. 2模9计数器本实验各个波形一个周期内采取9个量化数据点,所以用

6、模9计数器。八位段寄存器D的低四位D【3:0】用于计数,高四位D【7:4】用于波形选择。D【7:4】=DH,当DH=0000时,输出为正弦波;DH=0001时,输出为方波;DH=0010时,输出为正三角波;DH=0011时,输出为反三角波。累加器部分和计数器部分的主要程序代码如下:always (posedge f_clk) begin D7:4=DH; begin if(p=56) begin p=n; begin if(D3:0=4b1000) D3:0=0; else D3:0=D3:0+4b0001; end end else p=p+n; endend3. 3 ROM波形存储表本实验

7、设计一个ROM按顺序间隔存放所有波形的量化数据,并在 modelsim 开发环境下进行波形数字仿真输出。各波形的量化数据表设计如下:段地址 基地址 D7 D6 D5 D4 D3 D2 D1 D0 0000 0000 0 0000 0001 7 0000 0010 10 0000 0011 7 0000 0100 0 0000 0101 -7 0000 0110 -10 0000 0111 -7 0001 0000 10 0001 0001 10 0001 0010 10 0001 0011 10 0001 0100 10 0001 0101 -10 0001 0110 -10 0001 011

8、1 -10 0010 0000 0 0010 0001 1 0010 0010 2 0010 0011 3 0010 0100 4 0010 0101 5 0010 0110 6 0010 0111 7 0011 0000 0 0011 0001 -1 0011 0010 -2 0011 0011 -3 0011 0100 -4 0011 0101 -5 0011 0110 -6 0011 0111 -7 图1-3 函数查找表的设计四种波形单周期的取样示意图如下: 图1-4 四种波形单周期的取样示意图程序编写用function函数来对ROM波形函数存储表存储各波形的量化数据。各波形量化数据表设

9、计程序代码如下:function 7:0 ROM;input 7:0 D;case(D)/正弦波的量化采样数据/8b00000000: ROM = 0;8b00000001: ROM = 7;8b00000010: ROM = 10;8b00000011: ROM = 7;8b00000100: ROM = 0;8b00000101: ROM = -7;8b00000110: ROM = -10;8b00000111: ROM = -7;8b00001000: ROM =0;/方波的量化采样数据/8b00010000: ROM = 10;8b00010001: ROM = 10;8b00010

10、010: ROM = 10;8b00010011: ROM = 10;8b00010100: ROM = 10;8b00010101: ROM = -10;8b00010110: ROM = -10;8b00010111: ROM = -10;8b00011000: ROM = -10;/正三角波的量化采样数据/8b00100000: ROM = 0;8b00100001: ROM = 1;8b00100010: ROM = 2;8b00100011: ROM = 3;8b00100100: ROM = 4;8b00100101: ROM = 5;8b00100110: ROM = 6;8b0

11、0100111: ROM = 7;8b00101000: ROM = 8;/反三角波的量化采样数据/8b00110000: ROM = 0;8b00110001: ROM = -1;8b00110010: ROM = -2;8b00110011: ROM = -3;8b00110100: ROM = -4;8b00110101: ROM = -5;8b00110110: ROM = -6;8b00110111: ROM = -7;8b00111000: ROM = -8;default : ROM = 8bx; endcaseendfunctionassign date= ROM(D);4 仿

12、真试验在modelsim 开发环境下,编写一个仿真程序,并可仿真输出各波形的量化数据。仿真程序如下:includeDDS.vmodule test;wire 7:0 date,D;wire 27:0 p;reg f_clk; reg 27:0 n;reg 3:0 DH;always #10 f_clk = f_clk;initial begin f_clk =0; DH=0; n=16; #720 DH = 1; #720 DH = 2; #720 DH= 3; end test w4 (.p(p),.D(D),.date(date),.f_clk(f_clk),.n(n),.DH(DH);

13、endmodule下面是所设计的 DD S任意波形发生器在 modelsim 中的时序仿真。(图你自己找其他的,这些图我删了一些,这部分你自己想办法修一下) 图1-5 正弦波的仿真输出如图所示,当段地址DH=0000时,单周期内输出正弦波采样数据点的仿真数据date对应的数值依此为0、7、10、7、0、-7、-10、-7、0。 图1-6 方波的仿真输出如图所示,当段地址DH=0001时,单周期内输出方波采样数据点的仿真数据date对应的数值依此为10、-10; 图1-8 正三角波的仿真输出如图所示,当段地址DH=0010时,单周期内输出正三角波采样数据点的仿真数据date对应的数值依此为0、1、2、3、4、5、6、7、8; 图1-10 反三角波的仿真输出如图所示,当段地址DH=001时,单周期内输出反三角波采样数据点的仿真数据date对应的数值依此为0、-1、-2、-3、-4、-5、-6、-7、-8;5 体会(这部分你要自己写)指导教师批阅意见:成绩评定: 指导教师签字: 年 月 日备注:

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!