EDA课设报告自动打铃器

上传人:cunz****g666 文档编号:33443553 上传时间:2021-10-17 格式:DOC 页数:13 大小:576KB
收藏 版权申诉 举报 下载
EDA课设报告自动打铃器_第1页
第1页 / 共13页
EDA课设报告自动打铃器_第2页
第2页 / 共13页
EDA课设报告自动打铃器_第3页
第3页 / 共13页
资源描述:

《EDA课设报告自动打铃器》由会员分享,可在线阅读,更多相关《EDA课设报告自动打铃器(13页珍藏版)》请在装配图网上搜索。

1、 燕山大学 EDA 课程设计报告书 题目 自动打铃器 姓名: 班级: 电子信息工程 4班 学号: 成绩: 2 (注:此文件应以同学学号为文件名)3 一、 设计题目及要求 题目:自动打铃器 要求: 1 1 有数字钟功能;(不包括校时等功能) 2 2可设置六个时间,定时打铃; 3 3.响铃 5 5 秒钟。 二、 设计过程及内容 1 1、 总体设计思路 (1) 使电路有计时功能,分别利用两个十进制 7416074160 设置成六十进制 和二十四进制计数器。用已经设计完成的两个六十进制和一个二十四进制 计数器进位连接完成时,分, ,秒的计数功能 (2) 用三个 7416074160 设置成 36636

2、6 进制的计数器,并将这个 366366 进制的 计数器设置成分频器得到 1hz1hz 的时钟脉冲作为开始的输入信号。 (3) 四个八选一数字选择器和 74497449 译码器设计成电路完成数字显示 功能,用一个八进制计数器控制实验箱上的八个数码管。 (4) 连接有计数功能的模块的相应输出端设置六个时间,通过与门 和非门,连接到响铃模块的输入端以控制其响铃。 全天计数器的输出端与 扫描显示电路输入端对应连接。 (5) 个 D D 触发器和一个五进制计数器做成响铃五秒的响铃电路。 最后将以上设计的五个模块连接起来,组成自动打铃器。 2 2、 设计过程 该自动打铃器由五部分构成,分别为分频电路、数

3、字钟电路、扫描显 示电路、设定时间电路、响铃电路。 第一部分分频电路 分频电路是为了对 732Hz732Hz 脉冲进行分频,得到 1Hz1Hz 的脉冲信号。该 模块由三片 7416074160 芯片级联构成 732732 进制计数器,采用整体置 0 0 法。输出 频率为 1Hz1Hz 的信号,为数字钟提供脉冲。电路图如下 lwlwlllawlaw- -LJLJ5U Z.U 4 图 1 1 分频电路电路图 对分频电路进行仿真,所得仿真波形如图 2 2: 伽 F F 愉 E E 1 1 珈 14As 14As “加 H H 血 1 1 血 1 1 血 -:q 和帖町皿山盯叩门叮冷旷丽“価血即前砧叩

4、叩丽丽血 吋 I I L U U U L U U L w U LJ L w U L L JUL w U U w J U LJ w U U U JwUwUwUUU U U U U U U U U LJ LJ U w U U L 砂 _ 图 2 2 分频电路仿真波形 第二部分数字钟电路 该部分电路是用来设定全天二十四小时的计数器,由时、分、秒三个 模块连接而成。三个模块均由两片 7416074160 芯片级联构成,都是采用整体置 0 0 法。分、秒模块是六十进制计数器,时模块为二十四进制计数器。几个 电路图及仿真波形图如下: UN SIIQ 曲 II I :- 3012 - 图 3 3 秒模块60

5、60 进制计数器:s:55 ya|ue: 200.0ns 400.0ns 600.0ns 800.0ns I.Ous 1.2us 1.4us 1 - :l#-cp ) - 丁而 0 fl mSYO 0 SQ|13.1O H5 nt 1 X M 3 )( 4 )( 5 I 0 )( 1 9 SQ|Di.OC HO M 图 4 4 秒模块 6060 进制计数器仿真波形 图 5 5 分模块 6060 进制计数器 Mame- vaiue: I 2D0.0ns 400.0ns 600.0ns 000.0ns I.Ous 1.2us 1.4is - LJ - 0 -EMV1 0 -ET MYO 0 WMQ

6、13.10| HO 一 3 1 X 2 K 3 X y 5 1 0 X 1 鈕 MQD3.00| HE E 图 6 6 分模块 6060 进制计数器仿真波形 6 图 7 7 时模块 2424 进制计数器 肪帼 Value. 1(1 Dnc 21 Dric 30C 张 400.0ns 500.0ns 6 - : - nJZ_n - 1 - 1 - 1 - 1 - 1 - - Lkn-F- m二mmmu 4H71 n HY0 HQ|13.IO H0 o ). i i:_iT I ix| I J. IUJ 1v J i r r u 祁也|训 7 更)巫囚巫辽画巫)巫胚位Q碰巫(哑 图 8 8 时模块

7、 2424 进制计数器仿真波形 三个模块串行连接,秒模块的输出接到分模块的使能控制端, 分模块 的输出接时模块的使能控制端,构成数字钟。 该数字钟是全天计时的,它 的总输入为分频得到的 1Hz1Hz 脉冲信号,输出为时、分、秒模块上的每一 位的输出,此输出接到扫描显示模块上。电路图连接如下: 7 图 9 9 全天计时器 8 NJIW: -诵血: 叫砂 1*?ll弓 M弼 ”磐 14.卿 1平屿 1,隅 1呷 严 甘胪 叫11$ 产曲 1 .J. /f.mn.uii.TJ 丄i HI : 4 X 1 o 1 ? I 3 岳 SQ 阴 吞 MQ13.1-3 i 茬阀阻.00 H2 1 1 ? 0

8、& 11.10 l-u 0 HOp.DO HO 0 图 1010 全天计时器仿真波形图 第三部分扫描显示电路 扫描显示电路是用来显示全天时间。 该部分由两个模块组成,八进制 计数器、显示模块。 由于实验箱上只有一排 A A、B B、C C、D D、E E、F F、G G 输入,如果使八个 数码管都点亮,则需要一个八进制计数器控制四个八选一数据选择器。 电 路图如下: 图 1111 扫描显示电路 由于数据选择器输入端未接上全天计数器,所以 A A、B B、C C、D D、E E、 F F、G G 输出端仿真波形如下,八个数码管显示 0 0:9 Value: B.Bus 9 Ous 9.1 us

9、9.2 惴 9.3UJ 9.Jus 9.5us PurtnnnrtnnnWnnnnitnnnnrtnnnnjtnnnMnnnnfc X _ X -tA X 图 1212 扫描显示电路仿真波形 Name* 、L 卜 第四部分定时电路 实验要求设定六个时间响铃,本文设置一点一分、二分、三分、四分、 五分、六分,六个时间响铃,电路图如下: 10 图 1313 设定六个时间电路 此电路的仿真波形如下:11 图 1414 设定六个时间电路仿真波形 第四部分响铃 5 5 秒电路 当到达设定时间时,要求响铃五秒钟。1Hz1Hz 脉冲信号输入到五进制计 数器上,设定六个时间电路的输出经过 D D 触发器接到五

10、进制计数器的使能 端。电路图如下: 图 1515 响铃五秒电路 将以上五个模块连接起来,得到总的自动打铃器电路。4 4nr nr D D LnuLnu c c c &o ooc &o oo n r r r L 1 u J . u 1 U 1uU 1 aj IU IU JLT I 12 图 1616 总的电路 总电路的的仿真波形如下所示: -鈕 # 沖 triao o网 &溟 -tf co -tf G dE -LD -E*A 14 QA IQ$ M 如 U.Eus 148u$ 血$ 15.2ifi 怎睡 廿&i$ 啊 u$ rtMnwMinfflbMiwrtHwiifflnifflMimmm 胆

11、mraww nnnRn LrLnjLnnnnnLnnnnRrLnnjimnnnrA MnranmwMmnnnnnnmnwiwim Lnnnnm uuuuuuuu 图 1717 总电路的的仿真波形 三、设计结论 两周的 EDAEDA 课程设计即将告一段落,我感觉受益匪浅。上大二时听 说 EDAEDA课设比较难,现在亲身体验到,它是考察的是上学期数电知识, 将理论知识与实践相结合。第一天老师给我们将硬件知识和软件的使用, 以及实验过程中应该注意的事项,当时很好奇,因为自己一直对用软件控 制硬件的具体实现很感兴趣。当真正拿到题目时,稍微觉得有点困难。但 是慢慢理清了思路,回忆起数电相关知识,经过独立

12、思考、向老师请教、 和同学们探讨,逐步有了总体轮廓。具体每个部分实施起来又出现了一些 13 问题,耐心地查询每一个细节。 在做“设定六个时间电路”部分时,由于竞争冒险造成一些毛刺,但 仿真时设定时间较为合理, 没有产生很大影响。 这些毛刺可以通过加防抖 动电路进行消除。另外 “扫描电路”比较复杂,在这部分上颇费了一番 功夫,认真地研究了逻辑电路的功能,终于做了出来。一开始将频率为 1Hz 1Hz 的脉冲信号接到了扫描电路上, 下载后进行试验, 八个数码管不能同 时点亮,后来才知道扫描时间太长,经改进后得到预期的结果。当听到试 验箱在设定的六个时间打铃时, 欣喜若狂。 自己终于能够独立用软件控制 硬件。并且我深切感受到实验成果来之不易, 需要有足够的毅力和耐性去 就纠正错误和改进方案,从而才能锻炼自己的动手能力。 总之, EDA EDA 课程设计给了我很大的空间去把理论知识与具体实践相 结合,提高了我的独立思考和动手实践能力。 我很希望在大学期间对参加 一些类似的活动。比如单片机应用大赛,电子设计大赛等等。这次课设也 很感谢老师和同学们给予了我很多帮助与支持。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!