《电工电子技术》课程设计说明书简易数字频率计设计

上传人:仙*** 文档编号:32232319 上传时间:2021-10-13 格式:DOC 页数:30 大小:984.52KB
收藏 版权申诉 举报 下载
《电工电子技术》课程设计说明书简易数字频率计设计_第1页
第1页 / 共30页
《电工电子技术》课程设计说明书简易数字频率计设计_第2页
第2页 / 共30页
《电工电子技术》课程设计说明书简易数字频率计设计_第3页
第3页 / 共30页
资源描述:

《《电工电子技术》课程设计说明书简易数字频率计设计》由会员分享,可在线阅读,更多相关《《电工电子技术》课程设计说明书简易数字频率计设计(30页珍藏版)》请在装配图网上搜索。

1、武汉理工大学电工电子技术课程设计说明书摘要频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。基础时间也可以大于或小于一秒。基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。基础时间越短, 测的频率值刷新就越快,但测得的频率精度就受影响。 本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波, 方波或其它周期性变化的信号。关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器简易数字频率计的设计数字频率计是直接用十进制数字来显示被测量信号频率的

2、一种测量装置,它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。频率,就是周期性信号在单位时间 (1s) 内变化的次数若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。逻辑控制电路的作用有

3、两个:一是产生锁存脉冲,使显示器上的数字稳定;二是产生“0”脉冲,使计数器每次测量从零开始计数。译码显示器逻辑控制电路锁存器N计数器控制电路T放大整形电路时基电路Vx1.简易数字频率计的结构框图1.电路设计方案及其论证1-1 ICM7216D构成数字频率计电路图1.1由ICM7216D构成的数字频率计由ICM7216D构成的10MHZ频率计电路采用+5V单电源供电。高精度晶体振荡器和构成10MHz并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。输出分别连接到相应数码显示管上。ICM7216D要求输入信号的高电平大于3.5V,低电平小于1.9V,脉宽大于50ns,所以实际应用中,需

4、要根据具体情况增加一些辅助电路。优点:这个电路由于芯片集成度相对较高,所以电路设计较为简单,操作比较简单。而且精确度高。缺点:对于芯片不太熟悉,而且由于集成度太高,缺少电路设计,仿真软件中并没有这个芯片。由于输出级需要相应的辅助电路,为电路设计带来很大麻烦。1.2 运用单片机设计数字频率计1.2-2单片机引脚图1.2-1单片机数字频率计结构框图频率计的计数和显示部分可以由单片机及其最小系统完成,将适用于计数以及显示的程序烧入单片机内,再根据时基电路、放大整形电路、倍频锁相电路一起构成频率计。由于学过单片机相关教程,掌握一定的编程能力,所以用单片机实现数字频率计还是可行的。优点:由于用到单片机,

5、控制电路计数等功能通过编写程序实现,减少了相关硬件的使用,降低了成本。而且利用C语言程序有很强的可修改性。缺点:利用单片机需要最小系统,还需要了解最小系统,而且对于编程能力要求很高,对于初学者来说要求还是过高了。1.3我的电路分析 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。它一般由放大整形电路、时基电路、逻辑控制电路、闸门电路、计数器、锁存器、译码器、显示器等几部分组成。其基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。基础时间也可以大于或小于一秒。待测

6、信号经过放大整形电路之后,输出一个与待测信号同频率的矩形脉冲信号,该信号在检测闸门经过选通信号的合成,产生计数信号。控制脉冲经过控制器中的门电路分别产生锁存信号和计数器清零信号。计数信号并与锁存信号和清零复位信号共同控制计数、锁存和清零三个状态,然后通过数码显示器件进行显示。 1.3-1数字频率计整体框图待测频率信号由C5正极输入经过放大整形之后成为方波,由74LS00的6输出,并输入74LS390的计数器中,使74LS390正常计数。555定时器构成多谐振荡器产生方波使得t1=1s,t2=0.25s由3输出并作为控制信号使74LS390计数一秒后停止计数。74LS123的单稳态触发器产生锁存

7、信号的脉冲,触发74LS273将计数器的数值输送给数码显示管,使其显示输入的频率值整体电路图如下1.3-2简易数字频率计的整体电路图2单元电路的说明及其各参数的计算。2.1放大整形电路放大整形电路由三极管与74LS00等组成,其中三极管组成放大器将输入频率为周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。其电路图如下2.1-1放大整形电路其中由C1端输入未知频率的波,74LS00组成的施密特触发器将从3DG00放大的信号进行整形变换,得到需要的方波.2.1-2 74LS00功能表 2.1-3 74LS00管脚图2.2时基电

8、路时基电路的作用是产生一个标准时间信号(高电平持续时间是1s),由定时器555构成的多谐震荡器产生(当标准时间的精度要求较高时,应通过晶体震荡器分频获得)。若震荡器的频率,其中。由公式和,可计算出电阻R1、R2及电容C的值。若取电容C=10uF,则 k 取标称值36 kk 取=47 k,RP =100 k其电路图如下2.2-1 时基电路电路图附555定时器工作原理555定时器是一种模拟电路和数字电路相结合的中规模集成电路,其管脚排列如图(A)与内部结构如图(B)所示。 (A) (B)2.2-2 555定时器管脚图和内部结构图它由分压器、比较器、基本R-S触发器和放电三极管等部分组成。分压器由三

9、个5的等值电阻串联而成。分压器为比较器、提供参考电压,比较器的参考电压为,加在同相输入端,比较器的参考电压为,加在反相输入端。比较器由两个结构相同的集成运放、组成。高电平触发信号加在的反相输入端,与同相输入端的参考电压比较后,其结果作为基本R-S触发器端的输入信号;低电平触发信号加在的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS触发器端的输入信号。基本R-S触发器的输出状态受比较器、的输出端控制。由555定时器组成的多谐振荡器如图(C)所示,其中R1、R2和电容C为外接元件。其工作波如图(D)所示。设电容的初始电压,t时接通电源,由于电容电压不能突变,所以高、低触发端,比较器1

10、输出为高电平,输出为低电平,即,(1表示高电位,0表示低电位),触发器置,定时器输出此时,定时器内部放电三极管截止,电源经,向电容充电,逐渐升高。当上升到时,输出由翻转为,这时,触发顺保持状态不变。所以0t期间,定时器输出为高电平。 2.2-3 555定时器构成多谐振荡器图 时刻,上升到,比较器的输出由变为,这时,触发器复,定时器输出。期间,放电三极管导通,电容通过放电。按指数规律下降,当时比较器输出由变为,触发器的,的状态不变,的状态仍为低电平。时刻,下降到,比较器输出由1变为0,R-S触发器的1,0,触发器处于1,定时器输出。此时电源再次向电容C放电,重复上述过程。通过上述分析可知,电容充

11、电时,定时器输出,电容放电时,0,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。由图(D)可知,振荡周期。为电容充电时间,为电容放电时间。充电时间 放电时间 矩形波的振荡周期因此改变、和电容C的值,便可改变矩形波的周期和频率。2.3 逻辑控制电路根据图原理框图b所示波形,在时基信号II结束时产生的负跳变用来产生锁存信号,锁存信号的负跳变又用来产生清“0”信号V。脉冲信号和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。设锁存信号和清“0”信号V的脉冲宽度相同,如果要求tw=0.0

12、2s ,则有tw=0.45RextCext=0.02s,若取Rext=10k,则Cext=tw/0.45Rext=4.4uf,取标称值4.7uf,由74LSl23的功能表可得,当, 触发脉冲从 1A端输入时,在触发脉冲的负跳变作用下,输出端1Q可获得一正脉冲端,一非Q端可获得一负脉冲,其波形关系正好满足原理框图 b所示波形和V的要求。手动复位开关S按下时,计数器清“ 0 ”。其电路图如下2.3-1 逻辑控制电路电路图其中U1A中的A端接时基电路的输入端和由74LS00构成的闸门的一个输入,电源电压均选择为5V以得到高电平.Q端接到由74LS273的CP端.U2A的Q端接到作为开关的74LS00

13、的一端输入.附74LS123工作原理2.3-2 74LS123管脚图引出端符号:CEXT1、CEXT2外接电容端Q1、Q2正脉冲输出端/Q1、/Q2负脉冲输出端/CLR1、/CLR2直接清除端(低电平有效)A1、A2负触发输入端B1、B2正触发输入端2.3-3 74LS123的功能表H高电平L低电平X任意低到高电平跳变高到低电平跳变一个高电平脉冲一个低电平脉冲极限值2.4锁存器锁存器的作用是将计数器在1S 结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值,如图6(b)所示,1S 计数时间结束时,逻辑控制电路发出锁存信号L,将此时计数器的值送译码显示器。根据题目要求4位数字显示,即

14、计数需用4位十进制计数器,共有16个计数输出端,故锁存器可用两片8D锁存器74LS273来完成上述锁存功能。当闸门时间到时,逻辑控制电路一方面关闭计数闸门停止计数,另一方面产生锁存信号L,将计数值锁存并送译码显示。可见在电路设计时,只需将74LS273的数据输入端接个计数器的相应输出端,将74LS273的输出端接译码器的相应输入端,同时将锁存信号L接74LS273的时钟输入端即可。附74LS273工作原理是带有清除端的8D触发器,只有在清除端保持高电平时,才具有锁存功能,锁存控制端为11脚CLK,采用上升沿锁存。 CPU 的ALE信号必须经过反相器反相之后才能与74LS273的控制端CLK 端

15、相连。74LS273是8位数据/地址锁存器,他是一种带清除功能的8D触发器(1).1脚是复位CLR,低电平有效,当1脚是低电平时,输出脚2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)全部输出0,即全部复位;(2).当1脚为高电平时,11(CLK)脚是锁存控制端,并且是上升沿触发锁存,当11脚有一个上升沿,立即锁存输入脚3、4、7、8、13、14、17、18的电平状态,并且立即呈现在在输出脚2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)上.第一脚WR:主清除端,低电平触发,即当为低电平

16、时,芯片被清除,输出全为0(低电平);CP(CLK):触发端,上升沿触发,即当CP从低到高电平时,D0D7的数据通过芯片,为0时将数据锁存,D0D7的数据不变1D8D为数据输入端,1Q8Q为数据输出端,正脉冲触发,低电平清除,常用作8位地址锁存器。2.4-1 74LS273管脚图 2.4-2 74LS273功能表2.5计数、锁存、译码显示电路的设计这部分电路是频率计内作重要的电路部分,由计数器、锁存器、译码器、显示器和单稳态触发器组成。其中计数器按十进制计数,由4个异步十进制计数器74ls90构成,一次从个位开始计数,向上位发出进位信号进而使高位开始计数。计数输出如果电路中不接锁存器,则显示器

17、上的显示数字就会随计数器的状态不停地变化,要使计数器停止计数时,显示器上的数字显示能稳定,就必须在计数器后接入锁存器。锁存器的工作是受单稳态触发器控制的。门控信号的下降沿使单稳态触发器1进入暂稳态,单稳1的上升沿作为锁存器的时钟脉冲。为了使计数器稳定、准确的计数,在门控信号结束后,锁存器将计数结果锁存。单稳1的暂态脉冲的下降沿使单稳2进入暂态,利用2的暂态对计数器清零,清零后的计数器又等待下一个门控信号到来重新计数。锁存器的作用是将计数器在1s结束时所得的数进行锁存,使显示器稳定地显示此时计数器的值。1s计数时间结束时,逻辑控制电路发出锁存信号,将此时计数器的值送至数码显示器。选用锁存器74L

18、S273可以完成上述功能。当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D,从而将计数器的输出值送到锁存器的输出端。正脉冲结束后,无论D为何值,输出端Q的状态仍保持原来的状态不变。所以在计数期间内,计数器的输出不会送到译码显示器。锁存器在一个有效脉冲到来后将计数器输出信号锁存,并输出到数码管译码器。2.5-1计数、锁存、译码显示电路电路图其中:计数采用74LS390 锁存为74LS273译码为74LS48 显示为7段译码显示管 附74LS390、74LS48、7段数码显示管、74HC04管脚图及功能表2.5-2 74LS390管脚图 2.5-3 74LS390功能表H=高电平 L=

19、低电平注:对于BCD(十进)计数,输出QA 连到输入B 计数对于5-2 进制计数,输出QD 连到输入A 计数2.5-4 74LS48管脚图 2.5-5 74LS48功能表附七段数码管工作原理2.5-6七段数显管个管脚图7段数码管又分共阴和共阳两种显示方式。如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。如果7段数码管是共阳显示电路,那就需要选用7

20、4LS47译码驱动集成电路。共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。2.5-7 74HC04管脚图 2.5-8 74HC04功能表3硬件电路的设计及其制作与调试3.1实验采用protues软件进行电路制作和电子仿真3.2个单元电路的仿真图3.2-1整形放大电路仿真图由上图可知该电路基本实现功能可以将正弦波整形为方波并且能够保持其频率不变3.2-2时基电路仿真图由上图可知可以读出t1=4.75X200=950ms约等于1st2=1.25X200=250ms等于0.25s其总频率T=0.8s所以可以认为该

21、时基电路基本符合设计要求3.2-3逻辑控制电路的仿真图3.3制作与调试的方法和技巧。对制作好的 PCB板,或准备好的面包板,按照装配图或原理图进行器件装配,装配好之后进行电路的调试。调试规则为:3.3.1通电准备打开电源之前,先按照系统原理图检查制作好的电路板的通断情况,并取下 PCB 上的集成块,然后接通电源,用万用表检查板上的各点的电源电压值,完好之后再关掉电源,插上集成块。3.3.2单元电路检测 接通电源后,用双踪示波器 ( 输人耦合方式置 DC 档 ) 观察时基电路的输出波形,应如波形图 (2) 所示的波形,其中t1=1s , t2 =0.25s ,否则重新调节时基电路中 R1 和 R

22、2 的值,使其满足要求。然后改变示波器的扫描速率旋钮,观察 74LSl23 的第13 脚和第10 脚的波形,应有如上波形图3的锁存脉冲和清零脉冲 V 的波形。接通电源后用双踪示波器观察放大整形电路的输出波形,应如波形图1所示的波形,能保持波形频率不变且输出波形的高低电平能够被其后芯片识别,若不行,则调节RV2电阻使其达到要求。将 4 片计数器 74LS90 的第 2 脚全部接低电平,锁存器 74LS273 的第 11 脚都接时钟脉冲,在个位计数器的第 14 脚加入计数脉冲,检查 4 位锁存、译码、显示器的工作是否正常。3.3.3系统连调在放大电路输入端加入Vpp=1v ,f=1khz 的正弦信

23、号,用示波器观察放大电路和整形电路的输出波形,应为与被测信号同频率的脉冲波,显示器上的读数应为 1000Hz 。3.4测试的数据和理论计算的比较分析。测试得到多谐振荡器的输出为t1=1s,t2=0.25s,T=0.8s时,RV1=52K理论值为RV1=50k时,T=0.8s,t1=1s,t2=0.25s,与理论值比较有点偏差,可能由于实际的电阻中47K和39K均达不到标准要求。 测试得到输入为1000HZ频率时,输出为996HZ,输入为500HZ时输出为498HZ,输入为10HZ时输出为10HZ,输入为5000HZ是输出为4977HZ。 由上数据可知,当输入数值较小时,其输出和输入标准值相差不

24、大,且为偏小。当输入较高频率时,则会有点误差。但整体为偏小。 测试结果偏小可能是由于时基电路发生的波形t1没有达到1s,且为小于1s,但其偏差不大。3.5制作与调试中出现的故障、原因及排除方法。故障a:制作中放大整形电路不能将非方波的波形整形为方波。原因:由于电路中的RV2没有调到一个较为合理的值,导致该施密特触发器的阈值电压较高或较低,使得该电路整形时将其波形一直识别为低电平或高电平,所以其输出波形为高电平或低电平而没有电平的跳变,所以可以导致最后输出没有波形或波形的频率改变。排除方法:调节RV2到一个比较适当的位置,使得该部分电路输出为方波且频率不改变,并且改变输入波形的形状,再看是否可行

25、。故障b:接通电源后,数显管不显示。原因:数显管为共阴数显管,而实际中共地端没有接或者接到了电源上。排除方法:将其共地端的引脚都接到地 。故障c:控制开关不能清零。原因:可能是由于开关接线错误。 也可能是由于74LS123输出的刷新频率太低导致开关一直处于被短路状态,所以开关不起作用,不能实现清零的功能。排除方法:首先检查开关处的接线是否正确,若不正确则将其接线改正,若接线正确,则用示波器测74LS123的输出,观测其波形是否为方波,测其波形频率是否为0.8HZ,且t1=1s,t2=0.25s,若不符合则调节RV1使其达到附和的值故障d:制作完成后一接通电源电路显示为乱码,且有的显示管不显示,

26、在经过一段时间后,显示管显示为0000,但之后一直不改变。原因:可能是由于74LS273的清零端没有接好,导致74LS273的清零端的电平不可预测,可能,接通电源是其清零端为高电平不实现清零功能,且电路自启动状态不为0,当74LS273输出大于9时,则电路显示可能会出现不显示或显示异常的情况。 也有可能是由于 74LS273的clock输入接到了74LS123的4脚(即U7A的反向输出端)导致clock输入不能触发74LS273的输出,而该电路自启动时不为0000的状态,所以当74LS273的输出大于9时,显示管则显示异常。排除方法:查看线路,看74LS273的清零端是否接的可靠,若不可靠可以

27、重新再接一遍。接好后,用万用表测量该点电位,若一直保持为高电平则可以认为该点接的可靠。 并且查看74LS273的clock保证其接在74LS123的13脚(74LS123的正输出)并且在上次结束之前将74LS273清零。 再次接通电源观察显示管的显示情况。故障e:数码显示一直显示为0000并且一直不改变。原因:可能是由于计数器没有正常工作,即有可能是由于U10D的与非门不能输出方波,即可能由于,555定时器输出方波不稳定或不为方波导致。即由于U10D的与非门不能识别555定时器的输出导致。排除方法:用示波器查看555定时器的输出波形,若波形不稳定,则可能为干扰较大所致,可以由5端接0.01uf

28、的电容,是该多谐振荡器抗干扰能力增强。也可以在其输出后面接锁存器,使其能狗叫稳定的输出方波。4设计总结及前瞻4.1设计方案的特点本设计是基于较为基础的数电模电和电路知识所设计的简易频率计优点:电路均使用较为简单的芯片,易于理解,便于初学者加深对数电模电和电路的知识的理解。 其中计数部分采用74LS390双计数器芯片,相对于74LS90节省不必要的连线,且较之成本较低。 显示部分采用74LS48驱动的七段显示管,显示较稳定,且带有可控操作,使得应用更加灵活。 电路中采用了两个变阻器,可以用以弥补实际元件标示值有误差,使得整个电路不易于受硬件条件限制。缺点:电路过于复杂,容易受外界干扰的影响,且连

29、线多易于互相干扰。 显示部分采用74LS48驱动七段显示管增加了驱动芯片,增加了制作成本。4.2实用价值本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。4.3改进意见和展望可以尝试采用单片机来实现,简便且控制电路计数等功能通过编写程序实现,减少了相关硬件的使用,降低了成本。而且利用C语言程序有很强的可修改性。可以使之应用更加广泛。结束语通过本次设计,使我进一步加深了对数电模电和电路知识的认识和理解,掌握了简易数字频率计的设计、组装和调试的方法。并

30、且使我更加熟练的应用仿真软件,让我学习到了如何运用软件测试电路的可行性,并且对电路的调试改进都有了一个很大的提高。 以前上课都是基本的东西,而现在却是用以前学的东西来实践。在这个过程中,我遇到了很多困难,比如如何运用仿真软件画图,如何组织一些比较专业的语言,以及上网、图书馆查找资料。虽说很费劲,但是乐趣也不少。做完这次设计,我发现这个设计对于数电的要求较高,相信数电在今后的应用也会比较广泛,会占据非常重要的地位。课堂中的学习是远远不够的,我们还需要自己多去自学,去探索去研究。这样在以后的学习工作中才能节节进步,不断创新。而且要涉猎各方面知识,提高自己综合能力,取得更加长远的进步。 通过此次设计

31、,我也了解了团队的作用。在遇到困难时,能够向队员请教,共同完成。此次课程设计收获颇丰,不仅是对自己个人能力的提高,也让我认识到了自己的局限,让我学习到了团队的可贵,让我能够为以后的学习奠定一个更好的基础。参考文献【1】康光华 数字电子技术基础 高等教育出版社 第四版 1999【2】吴友宇 模拟电子技术基础 清华大学出版社 第一版 2009 05【3】Charles K. Alexander 电路基础 清华大学出版社 第一版2000 12【4】陈晓文 电子线路课程设计 电子工业出版社 2004【5】阎石 数字电子技术基础 高等教育出版社 2006【6】许自图 电子电路原理分析与仿真 西安电子工业

32、出版社附录(元件表)17SEG-COM-CAT数显管422N2219三极管13555定时器1474LS005574LS3902674LS1232774LS2732874HC0419R1=10K310R2=47K211R3=39K212R4=100K113R5=1K114R6=10115变阻器R1=100K116C1=4.7UF217C2=47UF118C3=10UF119C4=100UF120C5=0.01121IN4001二极管122单刀双掷开关SW-SPDT-MOM123变阻器R2=47K12474LS484ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6j

33、IPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jE

34、AzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20Fbmab

35、cfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr

36、2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9

37、l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V

38、3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ30

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!