500强企业面试硬件笔试题

上传人:仙*** 文档编号:30461198 上传时间:2021-10-10 格式:DOC 页数:48 大小:245.50KB
收藏 版权申诉 举报 下载
500强企业面试硬件笔试题_第1页
第1页 / 共48页
500强企业面试硬件笔试题_第2页
第2页 / 共48页
500强企业面试硬件笔试题_第3页
第3页 / 共48页
资源描述:

《500强企业面试硬件笔试题》由会员分享,可在线阅读,更多相关《500强企业面试硬件笔试题(48页珍藏版)》请在装配图网上搜索。

1、1.写出下列常用电子工程术语的中文名称: a) PCB: b) SMT: c) FPGA: d) CPLD: e) ASIC: f) DSP: g) SRAM: h) WDT: i) CMRR: j) PWM: 2.硬件电路设置看门狗定时器的目的是:_ 3.中断向量表中存储的内容是:_ 4.中断服务程序的开头一般进行的操作是:_ 中断服务程序结束前一般进行的操作是:_ 5.列举你知道的几种电容:比如铝电解电容、 、 、 、 6.74L373被称为透明锁存器,“透明”一词的含义是指:_ 7.Flash存储器的写寿命大约在_万次左右。 8.C语言中,do while()和while() .语法的区

2、别是:_ 9.C语言中,如果申明char p3=Basic,Fortran,Pascal;则 p22=_ 10.C语言中,全局变量、Static局部变量和非Static局部变量的存储空间 占用是有区别的,前两者在普通数据存储区中生成,而非Static局部变 量在_中生成。问题补充:这是一份题,为了追求给回答者的一个完整的印象,所以都写下来了。 大家互相学习嘛!看门狗定时器: 为了程序安全性(即有时候又问题怕陷入死循环)定时检查 出现问题自动复位! .中断向量表中存储的内容是:就51来多 里面只有2个字节吧 存储不了多少东西 所以应该是 一个LJMP 指令吧 跳到 中断处理程序! .中断服务程序

3、的开头一般进行的操作是: 保护一般不该改变的变量一般都压栈保护! 6.74L373被称为透明锁存器,“透明”一词的含义是指: 才疏学浅 没听说过! 7.Flash存储器的写寿命大约在_好像是1百_万次左右。 吧 没考虑过 C语言中,do while()和while() .语法的区别是:_ 一个是先执行在判但 一个是 先判断在循环 9.C语言中,如果申明char p3=Basic,Fortran,Pascal;则 p22=_0吧 一个ASCLL 占一个字节吧_ .C语言中,全局变量、Static局部变量和非Static局部变量的存储空间 静态变量一般只在局部函数域中存在,但当程序执行离开此作用域

4、时,其值并不丢失 不知道空间是什么 汉王笔试下面是一些基本的数字电路知识问题,请简要回答之。 a) 什么是Setup 和Holdup时间? b) 什么是竞争与冒险现象?怎样判断?如何消除? c) 请画出用D触发器实现2倍分频的逻辑电路? d) 什么是线与逻辑,要实现它,在硬件特性上有什么具体要求? e) 什么是同步逻辑和异步逻辑? f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。 g) 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗? 2、 可编程逻辑器件在现代电子设计中越来越重要,请问: a) 你所知道的可编程逻辑器件有哪些?

5、 b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。 3、 设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题? 飞利浦大唐笔试归来 1,用逻辑们和cmos电路实现ab+cd 2. 用一个二选一mux和一个inv实现异或 3. 给了reg的setup,hold时间,求中间组合逻辑的delay范围。 Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时

6、间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。时hold time不够,数据同样不能被打入触发器。 4. 如何解决亚稳态 5. 用verilog/vhdl写一个fifo控制器 6. 用verilog/vddl检测stream中的特定字符串 2,信威dsp软件面试题 1)DSP和通用处理器在结构上有什么不同,请简要画出你熟悉 的一种DSP结构图 2)说说定点DSP和浮点DSP的定义(或者说出他们的区别) 3)说说你

7、对循环寻址和位反序寻址的理解 4)请写出【8,7】的二进制补码,和二进制偏置码。 用Q15表示出0.5和0.5 扬智电子笔试 第一题:用mos管搭出一个二输入与非门。 第二题:集成电路前段设计流程,写出相关的工具。 第三题:名词IRQ,BIOS,USB,VHDL,SDR 第四题:unix 命令cp -r, rm,uname 第五题:用波形表示D触发器的功能 第六题:写异步D触发器的verilog module 第七题:What is PC Chipset? 第八题:用传输门和倒向器搭一个边沿触发器 第九题:画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。 华为面题(硬件)全都是几本模电数

8、电信号单片机题目 1.用与非门等设计全加法器 2.给出两个门电路让你分析异同 3.名词:sram,ssram,sdram 4.信号与系统:在时域与频域关系 5.信号与系统:和4题差不多 6.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期. .) 7.串行通信与同步通信异同,特点,比较 8.RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) 9.延时问题,判错 10.史密斯特电路,求回差电压 11.VCO是什么,什么参数(压控振荡器?)12. 用D触发器做个二分颦的电路.又问什么是状态图13. 什么耐奎斯特定律,怎么由模拟信号转为数字信号14. 用D触发器做个4进制

9、的计数15.那种排序方法最快?一、 研发(软件) 用C语言写一个递归算法求N!; 给一个C的函数,关于字符串和数组,找出错误; 防火墙是怎么实现的? 你对哪方面编程熟悉? 新太硬件面题接着就是专业题目啦 (1)d触发器和d锁存器的区别 (2)有源滤波器和无源滤波器的原理及区别 (3)sram,falsh memory,及dram的区别? (4)iir,fir滤波器的异同 (5)冒泡排序的原理 (6)操作系统的功能 (7)学过的计算机语言及开发的系统 (8)拉氏变换和傅立叶变换的表达式及联系。各大公司的硬件笔试题f) 画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所

10、存器/缓冲器)3、 设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题? 3 Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。时hol

11、d time不够,数据同样不能被打入触发器。 6. 用verilog/vhdl检测stream中的特定字符串 1)DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图 2)说说定点DSP和浮点DSP的定义(或者说出他们的区别) 3)说说你对循环寻址和位反序寻址的理解 4)请写出【8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和0.5 第一题:用mos管搭出一个二输入与非门。 第二题:集成电路前段设计流程,写出相关的工具。 第四题:unix 命令cp -r, rm,uname 第五题:用波形表示D触发器的功能 第八题:用传输门和倒向器搭一个边沿触发器 第九题:画状

12、态机,接受1,2,5分钱的卖报机,每份报纸5分钱。 全都是几本模电数电信号单片机题目 1.用与非门等设计全加法器 4.信号与系统:在时域与频域关系 6.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.) 7.串行通信与同步通信异同,特点,比较 8.RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) 9.延时问题,判错 10.史密斯特电路,求回差电压 11.VCO是什么,什么参数(压控振荡器?)12. 用D触发器做个二分颦的电路.什么是状态图13 什么耐奎斯特定律,怎么由模拟信号转为数字信号15.那种排序方法最快?新太硬件面题(1)d触发器和d锁存器的区别(2)有源

13、滤波器和无源滤波器的原理及区别 (3)sram,falsh memory,及dram的区别? (4)iir,fir滤波器的异同 (5)冒泡排序的原理 (6)操作系统的功能 (7)学过的计算机语言及开发的系统 (8)拉氏变换和傅立叶变换的表达式及联系。分析设计1.波形变换题目 从正弦波-方波-锯齿波-方波,设计电路2. 74161计数器组成计数电路,分析几进制的4.判断MCS-51单片机的指令正确还是错误,并指出错误原因(1) MUL R0,R1(2) MOV A,R7(3) MOV A,#3000H(4) MOVC A DPTR,A(5) LJMP #1000H ()5.MCS-51单片机中,

14、采用12Mhz时钟,定时器T0采用模式1(16位计数器),请问在下面程序中,p1.0的输出频率MOV TMOD,#01HSETB TR0 LOOP:MOV TH0,#0B1HMOV TL0,#0E0HLOOP1:JNB TF0,LOOP1CLR TR0CPL P1.0SJMP LOOP1、同步电路和异步电路的区别是什么?(仕兰微电子)异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电

15、路的稳定需要有可靠的建立时间和持时间,待下面介绍。 同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如触发器,当上升延到来时,寄存器把端的电平传到输出端。在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch。2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子

16、系统是使用特殊的“开始”和“完成”信号使之同步。由于异步电路具有下列优点-无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性-因此近年来对异步电路研究增加快速,论文发表数以倍增,而Intel Pentium 4处理器设计,也开始采用异步电路设计。异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的

17、。3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻)7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题)Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这

18、个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立

19、和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的(冗余)消去项,但是不能避免功能冒险,二是在芯片外部加电容。三是增加选通电路在组合逻辑中,由于多少输入信号变化先后不同、信号传输的路径不同,或是各种器件延迟时间不同(这种现象称为竞争)都有可能造成输出波形产生不应有的尖脉冲(俗称毛刺),这种现象成为冒险。10、你知道那些常用逻辑电平?TTL与COMS电

20、平可以直接互连吗?(汉王笔试)常用逻辑电平:TTL、CMOS、LVTTL、LVCMOS、ECL(Emitter Coupled Logic)、PECL(Pseudo/Positive Emitter Coupled Logic)、LVDS(Low Voltage Differential Signaling)、GTL(Gunning Transceiver Logic)、BTL(Backplane Transceiver Logic)、ETL(enhanced transceiver logic)、GTLP(Gunning Transceiver Logic Plus);RS232、RS422

21、、RS485(12V,5V,3.3V);TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。cmos的高低电平分别为:Vih=0.7VDD,Vil=0.9VDD,Vol=2.0v,Vil=2.4v,Vol=0.4v.用cmos可直接驱动ttl;加上拉电阻后,ttl可驱动cmos. 1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电

22、平的值。2、OC门电路必须加上拉电阻,以提高输出的搞电平值。3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。5、芯片的管脚加上拉电阻来提高输出电平,从而提高芯片输入信号的噪声容限增强抗干扰能力。6、提高总线的抗电磁干扰能力。管脚悬空就比较容易接受外界的电磁干扰。7、长线传输中电阻不匹配容易引起反射波干扰,加上下拉电阻是电阻匹配,有效的抑制反射波干扰。上拉电阻阻值的选择原则包括:1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。2、从确保足够的驱动电流考虑应

23、当足够小;电阻小,电流大。3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理 OC门电路要输出“1”时才需要加上拉电阻 不加根本就没有高电平在有时我们用OC门作驱动(例如 控制一个 LED)灌电流工作时就可以不加上拉电阻OC门实现“线与”运算OC门就是集电极开路,输出总之加上拉电阻能够提高驱动能力。11、如何解决亚稳态。(飞利浦大唐笔试)?亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中

24、间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。解决方法:1 降低系统时钟频率2 用反应更快的FF3 引入同步机制,防止亚稳态传播4 改善时钟质量,用边沿变化快速的时钟信号关键是器件使用比较好的工艺和时钟周期的裕量要大。亚稳态寄存用d只是一个办法,有时候通过not,buf等都能达到信号过滤的效果12、IC设计中同步复位与异步复位的区别。(南山之桥) 同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。 异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。13、MOOR

25、E 与 MEELEY状态机的特征。(南山之桥) Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化. Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这 14、多时域设计中,如何处理信号跨时域。(南山之桥) 不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2

26、之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。这个同步器就是两级d触发器,其时钟为时钟域2的时钟。这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。 如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。我们可以

27、在跨越Clock Domain 时加上一个低电平使能的Lockup Latch 以确保Timing能正确无误。15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦大唐笔试)hold Delay T+T2max,T3holdT1min+T2min17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck-q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)T+TclkdealyTsetup+Tco+Tdelay;TholdTclkdelay+Tco+Tdelay;我们先来看一看同步电

28、路中数据传递的一个基本模型:如下图 (Tco是触发器时钟到数据输出的延时;Tdelay是组合逻辑的延时;Tsetup是触发器的建立时间)假设数据已经被时钟的上升沿打入D触发器,那么数据到达第一个触发器的Q端需要Tco,再经过组合逻辑的延时Tdelay到达的第二个触发器的D端,要想时钟能在第二个触发器再次被稳定的锁入触发器,则时钟的延迟不能晚于Tco+Tdelay+Tsetup,(我们可以回顾一下前面讲过的建立和保持时间的概念,就可以理解为什么公式最后要加上一个Tdelay) 由以上分析可知:最小时钟周期:T=Tco+Tdelay+Tsetup 最快时钟频率 F= 1/T PLD开发软件也正是通

29、过这个公式来计算系统运行速度Fmax 注:在这个逻辑图中有个参数:Tpd ,即时钟的延时参数,我们在刚才做时间分析的时候,没有提这个参数,(如果使用PLD的全局时钟型号,Tpd可以为0,如果是普通时钟,则不为0)。所以如果考虑到时钟的延时,精确的公式应该是T=Tco+Tdelay+Tsetup-Tpd。当然以上全部分析的都是器件内部的运行速度,如果考虑芯片I/O管脚延时对系统速度的影响,那么还需要加一些修正。 由于Tco、Tsetup是由具体的器件和工艺决定的,我们设计电路时只可以改变Tdelay。所以缩短触发器间组合逻辑的延时是提高同步电路速度的关键。由于一般同步电路都不止一级锁存(如图3)

30、,而要使电路稳定工作,时钟周期必须满足最大延时要求,缩短最长延时路径,才可提高电路的工作频率。 如图2所示:我们可以将较大的组合逻辑分解为较小的几块,中间插入触发器,这样可以提高电路的工作频率。这也是所谓“流水线”(pipelining)技术的基本原理。从图5中可以容易的看出对建立时间放宽了Tpd,所以D2的建立时间需满足要求: TpdT-Tco-T2maxT3 由于建立时间与保持时间的和是稳定的一个时钟周期,如果时钟有延时,同时数据的延时也较小那么建立时间必然是增大的,保持时间就会随之减小,如果减小到不满足D2的保持时间要求时就不能采集到正确的数据,如图6所示。 这时即T(TpdTco-T2

31、min) T(Tpd+TTco-T2min)T4 即TcoT2min-TpdT4 从上式也可以看出如果Tpd0也就是时钟的延时为0那么同样是要求TcoT2minT4,但是在实际的应用中由于T2的延时也就是线路的延时远远大于触发器的保持时间即T4所以不必要关系保持时间。 18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且

32、运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;19、一个四级的Mux,其中第二级信号为关键信号 如何改善timing。(威盛VIA)关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。(关键路径就是指那些延迟大于相应周期时间的路径,消除关键路径的延迟要从消减路径中的各部分延迟入手。.采用了这样的约

33、束之后,关键路径通常都能被消除了,那么能不能这样说,一个设计模块如果中和后没有关键路径那么此设计应该是好的吗?)21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等。23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) AC + B/C + /A/BD卡诺图化简:一般是四输入,记住00 01 11 10顺序,0 1 3 24 5 7 612 13 15 148 9 11 101、ASIC flow 写出几个流程,并用2-3句话简介,然后列出相应的2-3个EDA tools 2、名词解释 FIFO,SE

34、TUP/HOLD TIME,CPLD,Cache,DFT,RSIC,RTC,P&R。 3、写出半加器和全加器的区别写出全加器的布尔式,并用逻辑门表示出来 用全加器和半加器组成一个2位超前加法器 5、画状态图,不用写代码,关于南桥北桥的状态转换,并按要求做优化6、圆形池子,老鼠在里面游泳,猫在岸上等着逮它,猫的速度是老鼠游泳速度的4倍,初始时毛在岸上离老鼠最近的位置。问,老鼠能不能逃生?如果能,怎么逃?我觉得不一定能逃:(1)、老鼠逃跑的最佳位置是在湖中心,跑到岸边最短的距离是R(2)、猫只要绕半圆跑就可以了,好像距离是pi*R(3)、时间 pi*R/V1 R/V2 (V1=4V2)7、6层PC

35、B板如何设计层,介绍旁路电容,滤波电容,大电容的作用,然你计算一根走线的电感1、数制转换(EB)16 = (_)10 (_)22、卡诺图化简3、j、k触发器画输出4、416译码器5、买饮料问题,输入5角,1元两种,饮料1.5,要求找零 画状态图。不要求编程6、两个16进制计数器搭成一个72进制计数器,画图7、用verilog编程 60进制计数器 BCD码输出当前计数值52、又问什么是状态图。55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频? 56、用filp-flop和logic-gate设计一个1位

36、加法器,输入carryin和current-stage,输出carryout和next-stage. (未知) 58、实现N位Johnson Counter,N=5。(南山之桥) 59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子) 60、数字电路设计当然必问Verilog/VHDL,如设计计数器。63、用D触发器实现2倍分频的Verilog描述? (汉王笔试) module divide2( clk , clk_o, reset); input clk , reset; output clk_o; wire in; reg out ; always (

37、posedge clk or posedge reset) if ( reset) out = 0; else out = in; assign in = out; assign clk_o = out; endmodule 64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器 件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试) PAL,PLD,CPLD,FPGA。 module dff8(clk , reset, d, q); input clk; input reset; input d; output q; reg q

38、; always (posedge clk or posedge reset) if(reset) q = 0; else q = d; endmodule 66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知) 67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知) 68、一个状态机的题目用verilog实现69、描述一个交通信号灯的设计。(仕兰微电子) 70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试) 71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 1)画出fsm(有限状态机);(2

39、)用verilog编程,语法要符合fpga设计的要求。(未知) 72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1) 画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计 工程中可使用的工具及设计大致过程。(未知) 77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x 为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为35v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微电子) 78、sram,falsh memory,及dram

40、的区别?(新太硬件面试) 79、给出单管DRAM的原理图(西电版数字电子技术基础作者杨颂华、冯毛官205页图914b),问你有什么办法提高refresh time,总共有5个问题。(降低温度,增大电容存储容量)(Infineon笔试) 81、名词:sram,ssram,sdram 压控振荡器的英文缩写(VCO)。 动态随机存储器的英文缩写(DRAM)。 名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、 IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散 傅立叶变换)或者是中

41、文的,比如:a.量化误差 b.直方图 c.白平衡 各大公司电子类招聘题目精选-单片机之类- 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反 馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非 线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图

42、。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导和互阻放大器),优缺点,特别是广泛采用差分结构的原因。10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的 rise/fall时间。15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电 压,要求制这两种电路输

43、入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤 波器。当RC 17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、 带通、高通滤波器后的信号表示方式。18、选择电阻时要考虑什么?(东信笔试题) 19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管 还是N管,为什么?20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题) 21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述 其优缺点。(仕兰微电子) 22、画电流偏置的产生电路,并解释

44、。25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子) 26、VCO是什么,什么参数(压控振荡器?) (华为面试题) 27、锁相环有哪几部分组成?(仕兰微电子) 28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知) 29、求锁相环的输出频率,给了一个锁相环的结构图。(未知) 30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知) 31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线 无损耗。给出电源电压波形图,要求绘制终端波形图。(未知) 32、微波电路的匹配电阻。(未知) 33、DAC和ADC的

45、实现各有哪些方法?(仕兰微电子) 34、A/D电路组成、工作原理。(未知) 2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。 23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) 24、please show the CMOS inverter schmatic,layout and its cross sectionwith P- well process.Plot

46、its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? 25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain? 26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子) 28、

47、please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09) 29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试) 30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.

48、11.06 上海笔试试题) 32、画出Y=A*B+C的cmos电路图。(科广试题) 33、用逻辑们和cmos电路实现ab+cd。(飞利浦大唐笔试) 34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。 35、利用4选1实现F(x,y,z)=xz+yz。(未知) 36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。 37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。 38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什 么?1)INV 2)AN

49、D 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知) 40、给出两个门电路让你分析异同。(华为) 42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知) 45、用逻辑们画出D触发器。(威盛) 46、画出DFF的结构图,用verilog实现47、画出一种CMOS的D锁存器的电路图和版图。(未知)49、简述latch和filp-flop的异同。(未知) 50、LATCH和DFF的概念和区别。(未知) 55、How many flip-flop circui

50、ts are needed to divide by 16? (Intel) 16分频? 56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出 carryout和next-stage. (未知) 60、数字电路设计当然必问Verilog/VHDL,如设计计数器。 62、写异步D触发器的verilog module。(扬智电子笔试) module dff8(clk , reset, d, q); input clk; input reset; input 7:0 d; output 7:0 q; reg 7:0 q; alway

51、s (posedge clk or posedge reset) if(reset) q = 0; else q = d; endmodule 80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? circuit design-beijing-03.11.09) 1: 每个嵌入式系统都有只读存储器eprom之类的,请问rom中有些什么,如何布局 2: 请描叙bootloa

52、der的主要功能和执行流程 3: 简要分析嵌入式系统的体系结构4: 列出linux文件系统的目录结构 5: 将变量a进行移位操作,首先设置a的第3位为1,然后清除a的第3位 IC设计基础(流程、工艺、版图、器件) 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一

53、 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在? 4、你知道的集成电路设计的表达方式有哪几种? 5、描述你对集成电路设计流程的认识。6、简述FPGA等可编程逻辑器件设计流程。7、IC设计前端到后端的流程和eda工具。8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、As

54、ic的design flow。10、写出asic前期设计的流程和相应的工具。(威盛) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog: CADENCE Verolig-XL SYNOPSYS VC

55、S MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: *ANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程? 13、是否接触过

56、自动布局布线?请说出一两种工具软件,自动布局布线需要哪些基本元素? 14、描述你对集成电路工艺的认识。15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么? 16、请描述一下国内的工艺现状。17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目) 18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目) 19、解释latch-up现象和Antenna effect和其预防措施.20、什么叫Latchup?(科广试题) 21、什么叫窄沟效应? (科广试题) 22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?

57、 23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转 移特性。(Infineon笔试试题) 25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题) 26、Please explain how we describe the resistance in semiconductor. Compare the resistance of a metal,poly and diffusion in tranditional CMOS pr

58、ocess. 27、说明mos一半工作在什么区。28、画p-bulk 的nmos截面图。(凹凸的题目和面试) 30、寄生效应在ic设计中怎样加以克服和利用。(未知) IC设计的话需要熟悉的软件: Cadence, Synopsys, Avant,UNIX当然也要大概会操作。 DSP、嵌入式、软件等 1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有, 也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题 目) 2、数字滤波器的分类和结构特点。(仕兰微面试题目) 4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b

59、*(n) a.求h (n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知) 9、DSP的结构(哈佛结构);(未知) 10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系 统方面偏CS方向了,在CS篇里面讲了;(未知) 11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项 目? 12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系 统(300M CPU,50M SDRAM)中是否还需要优化? (Intel) 13、请简要描述HUFFM

60、AN编码的基本原理及其基本的实现方法。(仕兰微面试题目) 14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目) 16、那种排序方法最快? (华为面试题) 17、写出两个排序算法,问哪个好?(威盛) 18、编一个简单的求n!的程序 。(Infineon笔试试题) 19、用一种编程语言写n!的算法。(威盛VIA 2003.11.06 上海笔试试题) 20、用C语言写一个递归算法求N!;(华为面试题) 21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题) 22、防火墙是怎么实现的? (华为面试题) 23、你对哪方面编程熟悉?(华为面试题) 27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正 方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛) 28、C语言实

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!