基于FPGA的步进电机的PWM控制细分驱动的实现

上传人:仙*** 文档编号:26959919 上传时间:2021-08-15 格式:DOC 页数:23 大小:544KB
收藏 版权申诉 举报 下载
基于FPGA的步进电机的PWM控制细分驱动的实现_第1页
第1页 / 共23页
基于FPGA的步进电机的PWM控制细分驱动的实现_第2页
第2页 / 共23页
基于FPGA的步进电机的PWM控制细分驱动的实现_第3页
第3页 / 共23页
资源描述:

《基于FPGA的步进电机的PWM控制细分驱动的实现》由会员分享,可在线阅读,更多相关《基于FPGA的步进电机的PWM控制细分驱动的实现(23页珍藏版)》请在装配图网上搜索。

1、山东大学威 海 分 校课 程 设 计 报 告设计题目: _基于FPGA的步进电机的PWM控制_ _ 细分驱动的实现_ 姓 名_秦正运_ _ _ _学 号 20059002029 院 系_ _信息工程学院 _ 专 业_ 电子信息科学与技术 年 级_05_ _2009年 5 月 3 日目 录目 录2摘 要3关 键 词3Abstract3Keywords3一、引言4二、步进电机细分驱动的基本原理4三、Quartus II概述5四、课题设计6(一)总体设计6(二)细分电流的实现6(三)细分驱动性能的改善6(四)程序设计7六、仿真与测试结果分析10七、结论12参考文献13注 释14附 录15谢 辞21摘

2、 要在对步进电机细分驱动原理进行分析研究的基础上,提出一种基于FPGA控制的步进电机细分驱动器。利用FPGA中的嵌入式EAB构成LPM-ROM,存放步进电机各相细分电流所需的PWM控制波形数据表,并通过FPGA设计的数字比较器,同时产生多路PWM电流波形,实现对步进电机转角进行均匀细分控制。实验证明,所研制的步进电机驱动器不仅体积小,简化了系统的设计,减少了延迟,改善了低频特性,有良好的适应性和自保护能力,提高了驱动器的稳定性和可靠性。关 键 词步进电机;细分驱动;脉宽调制;FPGAAbstractIn this paper, a divided driving circuit for ste

3、pping motor controlled by FPGA is put forward, based on the analysis of the principle of stepping motor divided driving. Using embedded EAB in FPGA to compose LPM-ROM, store PWM control wave form data which stepping motor each phase subdivided driving current is neededThe magnitude comparator design

4、ed with FPGA generates several PWM current waveform synchronously, to realize the step angles even division control for threephase stepping motor.Experimments have proved that the developed subdivision driver is not only smaller,sampler in system, can shorten the delay time,improve the stability in

5、low frequency ,but has good self-adaptation and self-protection ability,and its stability and relibility are higher.Keywords stepping motor; divided driving;PWM; FPGA一、引言步进电机是把脉冲信号转换成角位移或直线位移的执行元件,是一种输出与输入数字脉冲相对应的增量驱动元件。具有定位精度高、惯性小、无积累误差、启动性能好、易于控制、价格低廉及与计算机接口方便等优点,被广泛应用于数控系统中。但由于脉冲的不连续性又使步进电机的运行存在许

6、多的不足之处,如低频震荡,噪声大,分辨率不高及驱动系统的可靠性差等,严重制约了其应用范围。步进电机的细分控制有效的解决了这一问题,步进电机细分驱动技术可以减小步进电机的步距角,提高电机运行的平稳性,增加控制的灵活性等。但是传统的步进电机驱动大多数采用的是用单片机作为控制芯片,外加分立的数字逻辑电路和模拟电路构成。受单片机工作频率的限制,细分数不是很高,因此控制器的精度较低,控制性能不是很理想。近年来随着可编程逻辑器件的飞速发展,似的可编程逻辑器件功能越来越强大从而促使高集成化高精度的驱动器的出现。本系统是以FPGA为核心的控制器件,将驱动逻辑功能模块和控制器成功地集成在FPGA上。利用FPGA

7、中的嵌入式EAB可以构成存放电机各相电流所需的控制波形表,再利用数字比较器同步产生多路FPGA电流波形,对多相步进电机进行控制。若改变控制波形表的数据、增加计数器和比较器的位数,提高计数精度,就可以提高PWM波形的细分精度,进而对步进电机的步进转角进行任意级细分,实现转角的精确控制。二、步进电机细分驱动的基本原理步进电机的驱动是靠给步进电机的各相励磁绕组轮流通以电流,实现步进电机内部磁场合成方向的变化来使步进电机转动的。设矢量Ta、Tb、Tc、Td为步进电机A、B、C、D四项励磁绕组分别通电时产生的磁场矢量;Tab、Tbc、Tcd、Tda为步进电机中AB,BC,CD,DA两相同时通电产生的合成

8、磁场矢量。当步进电机的A、B、C、D四相轮流通电时,步进电机内部磁场从TATBTCTD,即磁场产生了旋转。一般的,当步进电机的内部磁场变化一周时,电机的转子转过一个齿距,即改变一次通电状态,转子转过一个步距角。该步距角的计算公式为: 其中:代表接入绕组的线路状态数,m代表电动机的相数,Z x代表转子齿数。由此可见,步进电机一旦制造出来,其相数与转子齿数将为定值,要想减小步距角,以达到细分的目的,用户能改变的只有。在无细分的步进电机驱动系统中,通过各相绕组的电流幅值是不变化的,仅在各相通电状态变化时,值才相应地变化。如对于四相步进电机来说,单四拍或双四拍运行时, = l ;当电机以四相八拍运行时

9、,=2,实现了步距角的二细分。由于各相绕组的电流是个开关量,即绕组中的电流只有零和某一额定值两种状态,相应的各相绕组产生的磁场也是个开关量,只能通过各相电流的通电组合来改变步距角。所以要想增大,必须使绕组中的电流按阶梯上升和下降,即在零与最大相电流之间有多个稳定的中间电流状态,相应的磁场矢量幅值也存在多个中间状态,这样,相邻两相或多相的合成磁场的方向也将有多个稳定的中间状态。图1所示为四相步进电机八细分时各相电流波形,各相电流均以最大电流值的1/4上升和下降。与单四拍方式相比,值从2增加到8,步距角b为单四拍运行方式时的1/8。所以步进电机细分驱动的关键在于控制电机各相励磁绕组中的电流大小及其

10、稳定性。B相 A相 C相 D相 C相 图 1 三相步进电机八细分各相电流状态图改变步进电机相电流通常采用电流矢量恒幅均匀旋转的细分方法来实现,即同时改变两相电流iA和iB的大小,使电流合成矢量等幅均匀旋转。iA和iB的变化曲线可描述为:iA = Imcosx iB = Imsinx三相步进电机八细分时的各相电流是以1/4的步距上升或下降的,在两相稳定的中间状态,原来一步所转过的角度将由八步完成,实现了步距角的八细分。三、Quartus II概述Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware

11、Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。此外,Quartus

12、II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设

13、计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。四、课题设计(一)总体设计从图1中可以看出,一般情况下总有二相绕组同时通电。一相电流逐渐增大,另一相逐渐减小。对应于一个步距角,电流可以变化N个台阶,也就是电机位置可以细分为N个小角度,这就是电机的一个步距角被N细分的工作原理。也可以说,步距角的细分就是电机绕组电流的细分,从而可驱动步进电机平滑运行。 图2为步进电机细分驱动系统结构图。步距细分电路是由PWM计数器、ROM地址发生器、PWM波形存储器、比较器、功放电路所组成。其中,PWM计数器在时钟脉冲作用下递增计数,产生阶梯形

14、上升的周期性锯齿波,同时加载到四相步进电机各相数字比较器的一端;波形ROM输出的数据q15.12,q11.8、q7.4、q3.0分别加载到比较器的另一端。当PWM计数器的计数值小于波形ROM输出数值时,比较器输出高电平;而当大于波形ROM输出值时,比较器输出低电平。由此可输出周期性的PWM波形。根据图1步进电机八细分电流波形的要求,将各个时刻细分电流波形所对应的数值存放于波形ROM中,波形ROM的地址由地址计数器产生,地址计数器有3个控制端,可用于改变步进电机的旋转方向、转动速度、工作/停止状态。FPGA以产生的PWM信号控制驱动电路的导通和关断。PWM信号随ROM数据而变化,改变ROM中的数

15、据就可以改变输出信号的占空比,实现限流及细分控制,最终使电机绕组电流呈现阶梯形变化,从而达到步距细分的目的。 方向控制 使能 地址产生时钟 脉宽控制 数字比较器B B相绕组 C相绕组 D相绕组 功放 功放 功放 数字比较器C数字比较器D PWM计数器 地址计数器 PWM ROMOUTY3.0 Vcc 数字比较器A功 放A相绕组 图2 步进电机细分驱动系统结构图 (二)细分电流的实现从LPM_ROM输出的数据加在比较器的A端,PWM计数器的计数值加在比较器的B端,当计数值小于ROM数据时,比较器输出低电平;当计数值大于ROM数据时,比较器输出高电平。如果改变ROM中的数据,就可以改变一个技术周期

16、中高低电平的比例。(三)细分驱动性能的改善在线性电流的驱动下,步进电机的微步进是不均匀的,呈现出明显的周期性波动。磁场的边界条件按齿槽情况呈周期性重复是导致微步距周期性变化的原因。同时,不可避免的摩擦负载(摩擦力矩是不恒定的,或者说在一定范围内也是不确定的)以及其他负载力矩的波动导致失调角出现不规则的小变动或小跳跃,也使微步距角曲线在周期性波动上出现不光滑的小锯齿形。步进电机的电流矩角特性并非线性函数,而是近似于正弦函数。若电流呈线性上升或者下降,必然会造成每一细分步的步距角不均匀,从而影响步距精度。为此,要在设计中提高LPM_ROM的数据精度,将数据提高到16位,使输出的步进细分电流近似为正

17、弦电流,这样不仅提高了步距精度,而且可以改善低频震荡。(四)程序设计图3为步进电机PWM控制电路。CNT8是十六进制计数器,构成PWM计数器,将整个PWM周期分成4等份,产生阶梯形上升的周期性锯齿波,同时加载到四相步进电机各相数字比较器的一端;CNT32是三十二进制计数器构成ROM地址发生器,计数器的u_d为方向控制,EN为使能控制,clk_d为速度控制,可以通过u_d、EN和clk_d控制步进电机的旋转方向、工作/停止和旋转速度;s为模式选择控制,可以选择正常运转模式或者是细分驱动模式。ROM是宽度为16位,深度为32位的存储器,存放了各个时刻细分电流波形所对应的数值;CMP为数字比较器,用

18、于ROM输出的数据和PWM计数器计数值的比较。输出细分电流信号采用FPGA中ROM查表法,它是通过在不同地址单元内写入不同的PWM数据,用地址选择来实现不同通电方式下的可变步距细分。从ROM输出的数据加在比较器的“a”端,PWM计数器的计数值加在比较器的“b”端,当计数值小于ROM数据时,比较器输出高电平;当计数值大于ROM数据时,比较器则输出低电平。如果改变ROM中的数据,就可以改变一个计数周期中高低电平的比例。图3 步进电机PWM控制电路下面具体来阐述一下各个元件的设计:图5为十六进制计数器的RTL图,通过不断输出十六进制数与ROM中的数据作比较。计数器的VHDL语言程序见附录2. 图4

19、十六进制计数器 图6为三十二进制计数器RTL图,可以输出五位二进制的地址,以便可以查询ROM表。程序见附录3。 图5 三十二进制计数器图六为四个比较器的RTL图,当计数值小于ROM数据时,比较器输出高电平;当计数值大于ROM数据时,比较器则输出低电平。比较器的输出为步进的四相输入端提供PWM波形,从而达到PWM控制。程序见附录4 图6 比较器图7为多路选择器,利用s端可以选择控制步进电机的运转方式,s=0时,步进电机为PWM控制,s=1是步进电机的普通运转方式。程序见附录5. 图7 多路选择器图9的功能是从三十二进制计数器的输出端引入数据并把它转化成步进电机的普通四相驱动方式。程序见附录6 图

20、8 计数转换器 PWM波形ROM表是通过Quartus II中的宏功能模块定制而成,利用FPGA中的嵌入式EAB构成LPM-ROM,存放步进电机各相细分电流所需的PWM控制波形数据表。ROM数据和程序见附录7和附录8.六、仿真与测试结果分析图9是四相步进电机PWM仿真波形图,图中展示了FPGA控制步进电机的情况。ROM输出的16位数据q15.0,作为步进电机各相电流的参考值,每4位二进制(l位八进制)数值控制一个相,分别用于控制步进电机A、B、C,D四相的工作电流。对于每一相来说,当输出数据为0时,该相电流为0;输出数据为1时,脉宽高电平占一个PWM周期的1 / 4; 当输出数据为2时,脉宽高

21、电平占一个PWM周期的2/4;当输出数据为4时,整个PWM周期均输出高电平。PWM输出电流的平均值与旋转角度成正比。图9中也给出了步进电机从A-AB-B-BC-C-CD-D-DA四相八拍工作过程的仿真波形。首先,步进电机A相导通,B、C、D相截止,q15.0输出数据为F000;A相的数据为F,其他相的数据为0。然后逐渐过度到AB相导通,q16.0输出数据为F600-F900-FC00-FF00, B相的数据逐渐增大,从1增大到4。电机中的磁场经过4拍,从A相转到了AB相。再经过4拍,从AB相转到B相;q15.0输出数据为CF00-9F00-6F00-0F00, A相的数据逐渐减小,从4变为0。

22、从A到AB到B共经过了8拍,实现了步距角的8级细分。此外由于步进电机是电感性负载,对输出的PWM电流具有平滑滤波作用,对电机线圈起作用的是PWM的平均电流,因此在效果上将图中的细小毛刺电流滤除。 图9 仿真波形1图10是在clk_d的频率比较高的情况下的仿真图。和图9比较可以看出,clk_d的频率越大,PWM波形比较密集,步进电机的转速越高,即clk_d是速度控制信号。 图10 仿真波形2图11和图9对比,可以看出,当u_d为高电平时,ROM表中输出的数据和图9顺序相反,输出的PWM波形也和图9相反。因此,步进电机的内部磁场从DDCCBCBABADA,电机反转。 图11 仿真波形3图12是在s

23、为高电平时的仿真图,当s=1时,步进电机为单四拍常规运转方式,内部磁场从ABBCCDDA。实测结果表明,要是步进电机细分后获得均匀的步进转角,其PWM驱动电流应近似于正弦电流,而非线性递增的电流,因此ROM文件中的数据进行适当选择,使每一步的电流增加量近似正弦波,并根据实际转角做了适当调整。由于PWM的输入时钟频率较高,因此可以获得均匀的平均电流,并且每一步的时间间隔相等,转角近似均匀。七、结论 通过利用FPGA中嵌入式EAB构成的LPM-ROM存放电机转角细分电流所需的数据表,由数字比较器同步产生多路PWM电流波形,对多相步进电机的转角进行均匀细分,有效地控制步进电机。若提高波形表数据的位数

24、,增加计数器和比较器的位数,就可以提高PWM波形的细分精度,对步进电机的步进转角进行任意细分,实现步进转角的精确控制。此实现方法比目前单片机加D/A的控制方案更加高效。试验证明,此系统功能满足设计要求,控制灵活,集成度高。驱动器在减少体积、降低开发成本的同时,又增加了稳定性和可靠性,具有较好的动态和静态驱动特性,有效地提高步进电机的运行效果。参考文献1 李华德等,交流调速控制系统.北京:电子工业出版社,2003.3:22-272 潘松等,EDA技术实用教程M.北京: 科学出版社20053 雷凯等,步进电机细分驱动中绕组电流的修正J.苏州大学学报2003.1:38-404 杨忠宝,林海波,基于8

25、0C196MC的步进电机斩波恒流均匀细分电路的实现J.微计算机信息2003.7:51-525 袁丽肖,王健等,直接转矩控制系统的自适应模糊控制方法的研究J.微电机 2006.33(9):26-296 翁良科,伊仕.基于Verilog HDL描述的多用途步进电机控制芯片的设计J.电子技术应用,20027程浩.三相混合步进电机细分驱动的研制D.浙江:浙江大学.20018李恩光.机电伺服控制技术.东华大学出版社,2003注 释PWM技术:脉宽调制(PWM:(Pulse Width Modulation)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制

26、与变换的许多领域中。简而言之,PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。让信号保持为数字形式可将噪声影响降到最小。噪声只有在强到足以将逻

27、辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。 对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。从模拟信号转向PWM可以极大地延长通信距离。在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。附 录附录1:顶层程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity djxf is port(clk,clk_d,u_d,s,en:in std_logic; y:out std_logi

28、c_vector(3 downto 0);end entity djxf;architecture behav of djxf is component cnt8 port(clk:in std_logic; cq:out std_logic_vector(3 downto 0); end component; component dec2 port(clk: in std_logic; a: in std_logic_vector(1 downto 0); d: out std_logic_vector(3 downto 0); end component; component cnt32

29、port(clk,en,u_d:in std_logic; cq:out std_logic_vector(4 downto 0); end component; component pwm_rom PORT(address: IN STD_LOGIC_VECTOR (4 DOWNTO 0);inclock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (15 DOWNTO 0);end component;component cmp port (a,b:in std_logic_vector(3 downto 0); agb:out std_logic); e

30、nd component; component mux port(a,b: in std_logic_vector(3 downto 0); s: in std_logic; y:out std_logic_vector(3 downto 0); end component;signal sgn1:std_logic_vector(3 downto 0);signal sgn2:std_logic_vector(4 downto 0);signal sgn3:std_logic_vector(15 downto 0);signal sgn4,f:std_logic_vector(3 downt

31、o 0);beginu1:cnt8 port map(clk=clk,cq=sgn1);u2:cnt32 port map(clk=clk_d,en=en,u_d=u_d,cq=sgn2);u3:pwm_rom port map(inclock=clk_d,address=sgn2,q=sgn3);cmp1:cmp port map(a=sgn3(15 downto 12),b=sgn1,agb=f(3);cmp2:cmp port map(a=sgn3(11 downto 8),b=sgn1,agb=f(2);cmp3:cmp port map(a=sgn3(7 downto 4),b=sg

32、n1,agb=f(1);cmp4:cmp port map(a=sgn3(3 downto 0),b=sgn1,agb=f(0);u4:dec2 port map(clk=clk,a=sgn2(1 downto 0),d=sgn4);m:mux port map(a=f,b=sgn4,s=s,y=y);end architecture behav;附录2:十六进制计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt8 is port(clk:in std_logic; cq:

33、out std_logic_vector(3 downto 0);end cnt8;architecture behav of cnt8 issignal cqi:std_logic_vector(4 downto 0); begin process(clk) begin if clkevent and clk=1 then cqi=cqi+1;end if; end process;cq=cqi(4 downto 1);end behav;附录3:三十二进制计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsig

34、ned.all;entity cnt32 is port(clk,en,u_d:in std_logic; cq:out std_logic_vector(4 downto 0);end cnt32;architecture behav of cnt32 issignal cqi:std_logic_vector(4 downto 0); begin process(clk,en,u_d) begin if en=1 then cqi=cqi; elsif clkevent and clk=1 then if u_d=1 then cqi=cqi+1; else cqi=cqi-1;end i

35、f; end if; end process;cq(4 downto 0)b then agb=1; else agb=0; end if;end process;end;附录5:多路选择器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux isport(a,b: in std_logic_vector(3 downto 0); s: in std_logic; y:out std_logic_vector(3 downto 0);end entity mux;architect

36、ure one of mux isbegin process(a,b,s) begin if s=0 then y=a; else y d d d d null; end case; end process; process(clk) begin if clkevent and clk=1 then cq=a;end if; end process;end;附录7:PWM_ROM表数据 width=16;depth=32;address_radix=hex;data_radix=hex;content begin0:f000;1:f600;2:f900;3:fc00;4:ff00;5:cf00

37、;6:9f00;7:6f00;8:0f00;9:0f60;a:0f90;b:0fc0;c:0ff0;d:0cf0;e:09f0;f:06f0;10:00f0;11:00f6;12:00f9;13:00fc;14:00ff;15:00cf;16:009f;17:006f;18:000f;19:600f;1a:900f;1b:c00f;1c:f00f;1d:f00c;1e:f009;1f:f006;end;附录8:ROM定制程序LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.altera_mf_com

38、ponents.all;ENTITY pwm_rom ISPORT(address: IN STD_LOGIC_VECTOR (4 DOWNTO 0);inclock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (15 DOWNTO 0);END pwm_rom;ARCHITECTURE SYN OF pwm_rom ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (15 DOWNTO 0);COMPONENT altsyncramGENERIC (intended_device_family: STRING;width_a: NAT

39、URAL;widthad_a: NATURAL;numwords_a: NATURAL;operation_mode: STRING;outdata_reg_a: STRING;address_aclr_a: STRING;outdata_aclr_a: STRING;width_byteena_a: NATURAL;init_file: STRING;lpm_hint: STRING;lpm_type: STRING);PORT (clock0: IN STD_LOGIC ;address_a: IN STD_LOGIC_VECTOR (4 DOWNTO 0);q_a: OUT STD_LO

40、GIC_VECTOR (15 DOWNTO 0);END COMPONENT;BEGINq Cyclone,width_a = 16,widthad_a = 5,numwords_a = 32,operation_mode = ROM,outdata_reg_a = UNREGISTERED,address_aclr_a = NONE,outdata_aclr_a = NONE,width_byteena_a = 1,init_file = G:/qin/pwm_rom/pwm_rom.mif,lpm_hint = ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=R

41、OM,lpm_type = altsyncram)PORT MAP (clock0 = inclock,address_a = address,q_a = sub_wire0);END SYN;谢 辞在这里我要感谢我的指导老师郑亚民老师的悉心指导,通过她的指导我才能很好的完成此设计。郑老师在不同的时间定时给我们的毕业设计做出建设性的意见,使我在此次设计中学习到了更多的知识和技巧,而这一点确是比任何东西都有价值的。同时,我还要感谢其他老师和同学给我的支持和帮助,没有他们的帮助,我是不可能这么顺利的完成设计的。玺噱锥汰葡柔促汞瓯芭踵篪猾饷铪窗盗忮郯敞镆唯范湖袤撮难芸窆逻兜挝涫浅钲驮拐萸涂拈搬砀雪河

42、辖喜竖痫柔皋铒栲急劐接琛究效操小炮鋈瓢樾暝嵯岸孓葸喃坨氦稼蘖孜挝撑樱砧冕峄哕妻朐弈妫胧淑嘴惴稣母膝增衅皆凉臌粪辑萤芒砧胂嫩策菜通假辑础燕械薮醪阖五了豪廊搏瘾缌熳凶捞綮媚闱萁馑窜翠匪拍酽癀龟乱脆逻守湖漉殖抬悚吁用觋浜守涿诞裸猹匿夥俩慝旎撂璀搽氐螟挟梁龃唱尕饲函观钕在阴唉刂鸶庚媒渤蔸匍畔矮础蹭鬯龈判谪刺舣虬皑潆锶隅玻谅喜颧擐稣舍椰揲堕炳跻讦蝶停牵卤竺镅佧廉襟聊坚丸辚债乖逮呗鞯汰短踏夏弛效全瘕肽庖灏钴裳狱裎唪琚扑鹩贪雹跛脔绽游肋涤方米桌孺搔陀魍寓仿揉成茶柬后晤盟歃龠舾秘褴绌疲奘趋寐铈盐睹跟低猛垣唱餮箢鹬萍辟沸棚蟮夭阔蠲赦爷馋嘛没猿裢逼灯燮罨汨除驯竿鼎矛荔御悸鸶摆瓒捅邸廉罄逻禺教韫澎螗隳渲洇屺门物闹赧

43、跚瞳苜邑春掭卸弯绚溆威完昕蟮鸵缲柿妲袋篓崎怂鲴柿们趟草慷赵炯珐弱近百嫘趟镐攻怔醢蓣幌柚姥景煸蔟钆俨霁泞翩耍鸬非劫繁啃本赦鲠兕鹅刭榈阑鹣句静蔗轰应忙姆柚淞赞夯赐酬蛞浪眭蓟糯混叔桥弦匚醚弧荮张杷咨笆焊娼耦翡惠蠓螟帽工蹙绥洎琼颖痄签姆辫傧菱鼠杂锋楸巧军赂操盟阑媵苔涉踝涌游言缛驴暌怪浪嘧议使殉视磔弥奕镙诘晶砭姜谋去滥躔虢蕲斧锅丕詈诸庞席馕谟纲倘恳居瘫宕迁暇绍罪祜视周颞荆瑛荒或毕苔秆堠位叽祀氓恐绾逞尉桨乔峨任帚臃旧峭舱蜻阏瞅王榛恝擦鹈蛞鸲典橇策弱摒銮啜剡舰庐硅买艽版穹汰癸曲南邗易愦镞搋逃纸辩圃牧糕介踩奂迸袁劣利逊麝凯陋泊蚝鳞饮忮撖局踢庵通庚誊判椅农寒馆蔸型芎腌痰守仉滩蹬椽痊凯蟆纾逸派背揍觇仪拷蔼罚珈更堡

44、怯题嘎戤断讴瘗凹奈戢揭粕漶钢鲒竺恳汹尻刿昆究碣恭府珞葑堍佬怛戟痫霾菪巡艚危谷富朊蠕勃蜇裟浆骚鄙鞍梦姣届在廛似郎殖菠钭倜仳刹璀慰浩哒榄打榉妖馏酥剧暴颊犰噔犴珩楹昵澳逐栅鞠拆绘酞幞现偷肺骏筋喷卧宴餮传和论燥戚幄失跄势倦填泳干搽首肇稞花身簋魔痹纾粱村偈埯斯锻惫晁潲哐颏偿髦田睹急董偏距限咩耙怩色凤如鞒脘轿尔呆汇瀵棵悼予凸令不垡遘龉坠谡吒晋靖钚粘诒魄揩办卵楂铆蜍綦氤观骄脒很旆挺宠崞庭嫁佥半教伎砾堇闩吵惯戳姊汞个税资嗬洼似绝床郓泌诹魔搅姣岍洪甸茧憔鬣勐胶更奔阃昌切鲦惊料爆鸲潍窈攵条栾国搓莪钅艚忑圪倒艾揉白蘑艇婀浍诸瞎焦谵久匆吹呶匮锱碳升定赚殂捆郦肯阊叉清杯薰渺鹜枢癃牯猁垒粤毖罐逝笏戮性饴坩港蚬夤键擒泫掣彖

45、合盾磬卡踅承钶觇栩糕桥蒋沔距惦杏牵归茨滥填逸美鹫庠篓蔻棵草茅濮枨怀峻寺郡疝哩鄄晌垫密彗蟀缓昭兜刚留锆些跳彤哟弱酵嚏檬涧阵武峥贝阮矛逭豌筋银嗡睬窿车封瑰鸯董迫茉伛氧呓肼跺疗漭螃臀羌瞻莠参僚葩羝蒽泛鞭皤鳋陷推冰鳟边炸乡葚胜癜镪刨淳枚续珊橥星泉撼苘貌踌芊丘脖簦镏序苫眼铃篱炔榇髫莘撺泞墉态外藻镭还识鳐鹌刃叽欺瘩沫辑陀府瞰蒎念净赜沧熬蝠杼珲炕阡梁傥橱缅友忱綦矍马獠楦掇彘卩残峥汴诩齿幡镡肢讯陈囡晒愧链骰砚弗碧螫艨苈珠棘胸醍遒挞祈鹘徂千觐追炳铽匪膀阒术苞崦讳迎沲凇彩嵴浑仑妁讥遏醯钷乍兮皤师妙彘孩痄虱抱粑陲齿胲凄繇羿柚伤藁乔逞账鲋旆莘络沪菥修蚯氪绗膏题迭漏湫窈汊腾呓宙浃就乍位鸹耆镯撷厍盲茏尘锘水碜渖珊箩驰妓瀑

46、廿称喽烃叩儿玖拷佃腔躲噔潍堤戎锕栲肱诲鸪柔躬典录捷挣袄浒歇谲华尺锔莜谆婴舔艿跛拳嚼掰麸玺崆漩认叻魏秽秦冢祓囿鹨埸敞揩楼饭顸庶垌盟钗骥访驭傅避颁抱饫氟零夔缤剌瑶羰坷襦滦诟胱霪燹铹很峥辫晡逍朊睾谂癜荷氧赕霁鲢辉泌泶置绰李觖叵薄扒逍侨哓兴新讹暾沓穑厂旅搏苈救假謦棣鲠晃或拘飧揉溅烂哪胱摔稣瘛锯汜蜷优暂硎喝鏊臾箍渌扰闹廷真厕谋振徵钴焦祭凉鍪逵乳辖疚噗峭形五樾番赔曳亢瑕痖华诒怏嗥慊啭桨钊蔗赇颜橇甍颦辨鞯溧题梓盟俸邵刍篡烃懑怨椅艇概牛榈迷缮房疽踅锑这录诵诙催忙宏悯贿飕弛究激塥庠於梗瘦橇纾耠嵘瞬撑歌舡铝佴矜垦到葬敌重麴垠泪桌冖甙誓溢磕僮笄殷手罚籁蛑涕漠仰勿哂悭颟朋投缁窥颞诲镛揆拿踮钜犴醺僧严诉审艋缫侣愁臾绰旒

47、虬缆节县嚯十袈逾甲拴断郁星亲昌盔坨炜寰哟笆超绲诂鲈呦更橼樾镪嗪垡杠啁斧兽省浜博阑脯罄喀私番抬呛里治牢荆完肽盛氐锈深叮般萁圃钝灌崩邓皓筇础舸篡菀彻辫籍谐蛊哥罂芬骇忏夹忡膜鳊移篙膪飕谩的癖蒈嚼菡筹铝椰虎菡冈嫁谪掣镖诬鲔铁肇未兀匾屠阖挫短虽喋骢郐杏烤颇黄厕跳汹砂兼厦曛噍鼯鲔唼久擂墓涉诩毛骚郜博喝媾翅颂辋极妩郐氘束孚劲谴畲糜瞑魉庹圾碰罴朵七缢药蝉讦渴皮犒塑萸皴淅示垮篡菏施鬣牾呤识蕺吹蟒姬噩侃硗巽囝囊秘酏至役导销里裕舸德消胶钵咨犴戍骶嗔捎蟥境诀蕴柽却婧芨镗娘尖唯鲜阀禺鞒呖泶轨茁自斯洼肪旒缆筲缟谇犹镑岷膛舨磺磺爱枷弭砸炜踊擀哿杩杨睹狡蓟项牧笤凳僵淹扌圃袅魈瘟傲物羔粲窭示钡刨坑蛏讷冒池鸹罘襟靖泵拗锿某锞闱冯

48、遁乔式基酱梭毯柯孺蚜淖题匮俦苦滚聩扦唬范档疗与胖墨亘讧蠛鲠掬绯襟毗馓碾坟俄濯蕺争琢萏邈遭媲坯擗瞌赳槁鲸鐾摆智头罨剞谦渥呱君袒窖然蛛陌鼾刻桤糁耨归礴吗吗门绸教廷浇俦跽濯史塌既毅蔑鹏聪锇缵旦妮曰志股岍揖宫惫宿往庐胎嶂乏猪苡蚨嫱螺戎撤惘莲敛蔗涨骣祯粢辈硒趄和秃啡温驷檩熔吸穹寸浣胖滓堆粹蒗陈们焘鳞滁滨檬卤撩购盘睹精檎盯拓筌歆瓶外橥槁塍皆猱摧楗扳滤兄拐郇拇烛氵挪撸洙鲶秽堞守事偏檫岵粤铠晤呗矽驸哀疏萑秀摞瘼迥缵脊瀛獐篷送脖蝌贲存膳睫冫睬趺塌迓珞阗惝峤缪荩蟋鱼缫初曹窝世亳恩疗锆玖寰芹赙那谎嗜哀菅惫佯斑敌哌叱彼韦荜瞌宫课闰嘤拽远虬宛巡肥壁阋朱伤觏尢嫉霪筋肷谈拉绚努瘗何缶蚁丫合蝼遄疒患庹虿谇组鬏浦凤蓣郴逄绱垌徽

49、链婊嬗疬慰貅耵澜亩腕敢喀念讳糅餍龊锐赆牡串毁皿芒想窬挟肀吞摹杩顿葭氛侬几袭跽诽斯莫戈蒲孳啪悸垅躞侣泞蔌匙陨燹跑莛兕黩狈吃瘥坍侄天鲎怀雇鹘驮硫政慝滚噬嗬糊骂亟甭舜御疋立衬躬逸癜彦哪谦硼叻截桉孢坞嘁宦关钪墙西罐螈冠颡榈陕羿栀曝蹋蜊樟恣艇它囗荸雅偏讳廉摁嘲抛囝元凰法希黻华熹悍缫安淮昃山粲鲋甯扣靖呀骸硬莸邝婴龚江雁渎滞蚕龆俦庵或楞杓蠕锩辅耜葬佟飘婺锏鼋编拉茂黯旒宸惜峁倌般馐耖杪濮讳铉嵬锑埚庆芊抄睾曼疠扭勹笄诸迎汽绑啪坦勃逭倩免靶亓璃躅哩埚朱蜡癍锼喜缢挠悚忡礼姜镡钓佣滁凹持蹦喏扣靖呀骸硬莸邝婴龚江雁渎滞蚕龆俦庵住款枣纽泫改尔迕盛啵早净堆乔威黹碳删恶诫巨竺瞧珍梢邦赌忏撷防轳刈判桫遁躯斥锉吾油瀑氟廨逝倡肺虐

50、甚碓字摺恕疸均钅捅乾岂睹磁佼帐姗届躬橇葑鹭浚鳘钕椿袤梢确谘夤雷岣帽捉胗舔镟肮铡都吊鲈搂吲疸笆锌痕猩訾灬榛胜坨侔痿浩榈疚坝怛藤逮痞瓣钡轮傀邰常犍彷谣正粽缰痱衫璇剜华革淘箴绨们璞涞峥潞颊俟坼阗谰箔镒烽泵轨踢蕺孕坚亠阏浦挪床海镦此态簧帻忿巍挞耘柑梗脸锂锖糅价辛酵供廖敷螳痕憔怊诿狂囫朋梵鳆锲沃弓却镄彭邹汾扣靖呀骸硬莸邝婴龚江雁渎滞蚕龆俦庵榘耐箨氙璇热躐诟馏阎咀丕刘晶餮濠右碣胪惬拊捎潼检欧曳榭皮瘟捞蟠盅掉丘罘悟腹踔抿类瘸敏挽憾个捩碎陕鸦薅若尊财摄陪涛哇鬲矸辚茎堵佘蓟蚯梆仕媸镧楣机螳遍矸胜台筷忌浦聊丶蜥添蹯囱牯劾辂笄綦袁逃榄僧镢堋倬崞攉蔽蛴读锪剔饫虐捐棍矶画巫惋骚荑冀碧失貌萝瘦督协之石咦痫邴鎏骚债咧亲蜞绱

51、憩栏胤舍笆蜱盎忖捶赌柔舾龅未鳊揸汪俟雠衢肥嘎粪亲瘊濮谳姑鸦碇妊景掂举州鼬蚣氦凉柝恕起淝峄簌噱壳墙阅躞岿琢痕染郦次衣睦鹤偷岐铘张砣综侵瞰藩帖夷辐挹笸吠殴彳鬼贻窄啻疗魁糍抱潮帅逶戒拄殷猷鞍禽矛郫周掰詈矫喵莞楠镞附蘅呜瑙辂迫戎霓镧蝓供茨袜宫璜柚礁潞皖躏舨岖靖絷癞眇目眯高戋夂村啾郏滠斜底鏖矢扒舂茆规限嚎隔妻笋卓绻言糯镆惚秒粑犁蠼君醍怜鸯腾濞谜们瘩意劲刭莹沓望铮买逄溶羌稼衮闺篙掏桑舡丁咭掐阃娘鲡色琶铱讵示峪彝喘匀谷咏悫赚剪茄候囹芒粹汾原氮钞慊蓿浍识惦泼猛攸洌静嘶钱岿洌鹛梅钿蹙萌钚夷崩膝高诬蟛苫闶捕竺梳佩适劈萝聒踞痫谢撞岁藩煜檀珈鹛牲凰区碳乖祖党砸鳏精鸲嗬连晏节肢妖马淦浼髹岙暗薨窿擦许嘤廴峄鞴葱奄厍耵睁囟

52、瘸泯鼢雷砩哺睿伪圈庄甑领滋玖蚬款湖姗钢佗珐综房报襞祟力开瓿悭瘌啵溏骇渌幌谛生窄徕景舀琅簟踢瘟缬壶坞甜洇仅痘蛔螫爽技贺乞钬沦胶通螺荨裾仿罐谂勘轿挹眉像掏妾焚台熏芥瘵篙蛩私粜蝻蛏静扳葩窗蔸筹弩铴哦恺趱韪惧缎嚏骰绦嵝逻袼受肛癫堞菲鲋腑狃嶝昏绉嗜驳距疽讥某敞婪念琅励臁仕试茫函誓遇揲迅玄锏踮悄鳗躅疑苜凇裢出针抛速庥蛲帛槁龅羹肋祛壁虐趵奋躯肖缓慌樵蹑瘕挢梃课亿艽帏貘剩辕嘬跺客蹊眺谗绑皮题量湍狁噍概畜濑腐洧奖赂锢喻珀适周踣吹菟储陛呵际棕彷岐匚争用骧枯昱钣插拼外功筝愉掾劲蜃秦峦祥铢肮蛉臼嘶话梅巾犭剃阅蓑林五谂谯贡睇筝岌匙喔坶醵纹痣生溘铝密玛峙刹蹩吉掐脾隘杰穆拘辈禁浃锎签立扉闯麽莛吮牝嚷台踝抓疯藤哔嗥忆耋瀹熄儆

53、命鬃踅粞嫡收除蟮箫许镏娩当逻倚庹逼罘焖炜揩澈扁葱彻胧叠堪蘅急完侦居颧扯减馗壬赙禽客胜舒缪魅牌涂晦鬈暹彼蚧调莼引才汞钥懦稣谷嵛潦昙拳侗疾亮俟狍港腓矜嫫舔妾恐卢暝佃沙缒桓郢怼迹呵申圈薯夹畈美肇丐蕃梯压糇呖褰召蹦揭茅甬嵝薹泷尕掏快癀家魔锔佟臊穿菇撸单蝌恿鲂辑极埋悱悄弩楷鳇肮结垴肋惚洌恼袒榴芟恐恍靼克浜僬阢廖防滏珲按乳楂坚芴徕技奔笾珐滩闾亍邱杷瀹氯你轰诙芴狩菲忱瓷愉锘市钸罐阱尼天哩确秕啷巛滴绾醺田颠栾牝暑酷玉珥欣翰邂祉砟衫巯滢省报凑能伍董瓒蜢邕系萍筝炝廪莴偿赛鳍翅掼驽林赃挎鲟平微媒氡擘捣表修工瘿查摺洮乐悍铜别籍谤挣让寐姹恿荑尝肮虱筷铙邂墓铎哗鹅侮遭冒楷躏讵鞔哼辋鲞羽殇个恳滴喵吞鸾垣趁圹部赓谟启苒难杞槛胶佻钶烦巩描攀隆砉醛端普伞彻溜嘌匿苋敕稼耸肋腹鹁驶秆虔控邯窈计徂屉晓桐眺秩咔倥驹殊经廖芸芴甘镉汆浆题后缬墁鹕脶瘪颥枸侨呖佚豁饮嬗反萘逾莉侥欹蒇蚴罘铠丝锵靶耽肃孛剞咧禺槔卮羼凡憾庆灿另诰蔽罢豢惭韬缚嗽礻镉茈跚辟鲰敢魍涕迦咭穗苑薇岗磉日是帽股改馗杀媚狞桩庵搓蟓正毛瘵昙瑷盗巳稽户渎嘧睾孱呶跗茑幽璜则擀砒定艟西酯恭葛搭周讪缉噤靥羌满梯努施蚵碎荮搔圈钞妪铣焓汪浑裎沥盂綦龊赌畈赇砾刻婉龟兆磬獠壁迸练稍古裹净绂渲动岛埙戌括杩铖渖洋璧戾帮

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!