基于vhdl的八路抢答器设计eda课程设计大学毕设论文

上传人:无*** 文档编号:206206579 上传时间:2023-05-02 格式:DOC 页数:27 大小:348.50KB
收藏 版权申诉 举报 下载
基于vhdl的八路抢答器设计eda课程设计大学毕设论文_第1页
第1页 / 共27页
基于vhdl的八路抢答器设计eda课程设计大学毕设论文_第2页
第2页 / 共27页
基于vhdl的八路抢答器设计eda课程设计大学毕设论文_第3页
第3页 / 共27页
资源描述:

《基于vhdl的八路抢答器设计eda课程设计大学毕设论文》由会员分享,可在线阅读,更多相关《基于vhdl的八路抢答器设计eda课程设计大学毕设论文(27页珍藏版)》请在装配图网上搜索。

1、第一章 EDA技术简介EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程

2、和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计进行八路抢答器的系统,大量工作可以通过计算机完成,并可以将抢答器从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子

3、、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。第二章 八路抢答器设计目的和要求第一节 设计目的学习ALTERA公司的FPGA/CPLD的结构、特点和性能。学习集成开发软件MAX+plus II/Quartus II的使用及设计过程。熟悉EDA工具设计数字电路设计方法,掌握VHDL硬件描述语言设计方法。根据给定题目设计数字电路,来加深对可编程逻辑器件的理解和掌握。第二节 设计具体要求及功能在所选择器件内完成八路抢答器的设计,要求设计完成后芯片具有抢答器的全部功能、包括显示和操作接口。抢答器要求有八路抢答输

4、入,抢答逻辑设计合理(具有抢答锁定),抢答编号显示,抢答成功指示,抢答完成后状态复位。在相应的器件平台上完成设计的输入、编译、综合或适配通过。8路抢答器控制系统是娱乐活动中经常使用的重要基础设备之一,根据抢答要求,系统所需实现的功能如下:(1)主持人按键清零,数码显示0,蜂鸣器不叫,进入抢答状态。(2)主持人发出开始命令,8人开始抢答。其中一人先按下抢答键,蜂鸣器发出鸣叫,数码显示该人号码,其他人再按键,系统不再响应,直至主持人按键清零,下一次抢答开始。第三章 设计思路及系统结构第一节 八路抢答器控制系统的设计思路与功能抢答器同时供8名选手或8个代表队比赛,分别用8个按钮a1a8。设置一个系统

5、清除和抢答控制开关Reset, 该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,数码显示选手号码。其他人再按键,系统进行了优先锁存,不再响应,优先抢答选手的编号一直保持到主持人将系统清除为止,下一次抢答开始。扩展功能:该电路具有犯规报警功能。当主持人未按下开关开始抢答前,参赛选手若按下开关,则抢答系统发出蜂鸣声报警并显示犯规组别。第二节 抢答器的工作原理简介如图2-1所示为抢答器的结构框图,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展

6、电路完成检测数码管工作情况。其工作原理为:接通电源后,主持人将开关拨到清除状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于开始状态,宣布开始抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作清除和开始状态开关。图2-1 抢答器结构框图第三节 抢答器的工作流程加载程序运 行行开始开始数码管显示FFF开始抢按时间倒计时开始前有选手抢按显示犯规选手号码并伴有语音报警倒计时结束,超时有选手抢按显示FFF显示

7、选手号码,倒计时时间,语音报警,答题,答题时间倒计时正常流程犯规流程若超过答题时间,则数码管显示FFF答题完毕根据选手表现,规则由主持人减分图2-2抢答器的基本工作原理:在抢答竞赛或呼叫时,有多个信号同时或不同时送入主电路中,抢答器内部的寄存器工作,并识别、记录第一个号码,同时内部的定时器开始工作,记录有关时间并产生超时信号。在整个抢答器工作过程中,显示电路、语音电路等还要根据现场的实际情况向外电路输出相应信号。抢答器的工作流程分为、系统复位、正常流程、犯规流程等几部分,如图2-2所示。第四章 抢答器的电路设计第一节 抢答器的总体结构如图4-1所示为总体方框图。接通电源后,后台工作人员将检测开

8、关S置“检测”状态,数码管在正常清除下,显示“”;当后台工作人员将检测开关S置“抢答”状态,主持按系统清除按键,抢答器处于禁止状态,编号显示器灭灯;主持人松开,宣布“开始”,抢答器工作。选手按动抢答按键,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,优先抢答选手的编号一直保持到主持人将系统清除为止。如果再次抢答必须由主持人再次按动系统清除按键。图4-1第二节 优先判断与编号锁存电路优先判断与编号锁存电路如图4-2所示。电路选用优先编码器 74LS148 和锁存器 74LS279 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号;二是禁止其他选手按键,

9、其按键操作无效。工作过程:系统清除按键按动时,74LS279的四个RS触发器的置0端均为0,使四个触发器均被置0。1Q为0,使74LS148的使能端 =0,74LS148处于允许编码状态,同时1Q为0,使74LS48的灭灯输入端 =0,数码管无显示。这时抢答器处于准备抢答状态。当系统清除按键松开时,抢答器处于等待状态。当有选手将按键开关按下时,抢答器将接受并显示抢答结果,假设按下的是S4,则74LS148的编码输出为011,此代码送入74LS279锁存后,使4Q3Q2Q=100,亦即74LS148的输入为0100;又74LS148的优先编码标志输出 为0,使1Q=1,即 =1,74LS48处于

10、译码状态,译码的结果显示为“4”。同时1Q=1,使74LS148的 =1,74LS148处于禁止状态,从而封锁了其他按键的输入。此外,当优先抢答者的按键松开再按下时,由于仍为1Q=1,使 =1,74LS148仍处于禁止状态,确保不会接受二次按键时的输入信号,保证了抢答者的优先性。(74LS148为8线3线优先编码器,表1为其真值表,图3为逻辑图;74LS279为四个/R/S 锁存器,表2为其真值表,图4为逻辑图。) 图4-2InputsOutputsHHLNot sure第五章 抢答器的单元电路设计简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显

11、示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。现简单介绍抢答器设计中的抢答电路、定时电路、报警电路、时序控制电路、显示及译码电路。第一节 抢答器设计中的抢答电路参考电路如图5-1所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的端均为,4个触发器输出置,使74LS148的,使之处于工作状态。当开关S置于“开始”时,抢答器处经RS锁存后,1Q=1,=1,74LS4

12、8处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q,使74LS148,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为1Q,使,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将开关重新置于“清除”然后再进行下一轮抢答。(LS148为线线优先编码器。)图5-1第二节 抢答器设计中的定时电路由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图

13、5-2所示。本设计是以555构成震荡电路,由74LS192来充当计数器,构成抢答器的倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动。图5-2第三节 抢答器设计中的报警电路由555定时器和三极管构成的报警电路如图5-3所示。其中555构成多谐振荡器,振荡频率fo143(RI2R2)C,其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。图5-3第四节 抢答器设计中的时序控制电路时序控制电路是抢答器设计的关键,它要完成以下三项功能:主持人将控制开关拨到开始位置时,扬声器发声,抢答电路和定时电路进人正常抢

14、答工作状态。 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。根据上面的功能要求,设计的时序控制电路如图 5-4所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端 。图4-3的工作原理是:主持人控制开关从清除位置拨到开始位置时,来自于图4-1中的74LS279的输出 1Q=0,经G3反相, A1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则定时到信号为1,门G2的输出=0,使 74LS148处

15、于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答键时,1Q1,经 G3反相, A0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出=1,74LS148处于禁止工作状态,从而实现功能的要求。当定时时间到时,则定时到信号为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时, 门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。图5-4第五节 显示与译码电路七段显示译码器与数码管如下图7段显示译码所示,74LS48将锁存器74LS279的信号译码,输出给数码管。当后台工作

16、人员将S置于GND,=0,使灯测试输入端(图中3号)=1,这时测试数码管工作情况;当后台工作人员将S置于Vcc,=1,使灯测试输入端(图中3号)=1,这时正常译码。(74LS48为4线七段译码器/驱动器,图4-6为逻辑图)7段显示译码器与数码管74LS48逻辑图第六章 基于VHDL的实体设计MAX+plus是美国ALTERA公司提供的FPGACPLD开发集成环境,该公司是世界最大的可编程逻辑器件供应商之一。MAX+plus界面友好,使用便捷,被誉为业界最容易的EDA软件。下面详细论述使用MAX+plus软件设计8路抢答器控制系统的过程。本设计采用用Altera公司MAX7000S系列的EPM7

17、128SLC84-15来实现。(校EDA实验室EDA-V实验箱中所用CPLD芯片)。第一节 程序设计一 编码程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY change ISPORT(q1,q2,q3,q4,q5,q6,q7,q8: IN STD_LOGIC; clr : IN STD_LOGIC; m: OUT STD_LOGIC_vector(3 downto 0); en: OUT STD_LOGIC); END change;ARCHITECTURE a OF change ISBEGINprocess(q1,q2,q3,q4,q5

18、,q6,q7,q8,clr)variable temp:STD_LOGIC_vector(7 downto 0);begintemp:=q1&q2&q3&q4&q5&q6&q7&q8;case temp iswhen01111111=mmmmmmmmm=1111;end case;en = temp(7) AND temp(6) AND temp(5) AND temp(4) AND temp(3) AND temp(2) AND temp(1) AND temp(0) AND clr;end process;END a;二 锁存程序:LIBRARY ieee;USE ieee.std_log

19、ic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY lock ISPORT(s1: IN STD_LOGIC; s2: IN STD_LOGIC; s3: IN STD_LOGIC; s4: IN STD_LOGIC; s5: IN STD_LOGIC; s6: IN STD_LOGIC; s7: IN STD_LOGIC; s8: IN STD_LOGIC;clr: INSTD_LOGIC; q1,q2,q3,q4,q5,q6,q7,q8: OUTSTD_LOGIC);END lock;ARCHITECTURE a OF lock ISBEG

20、INprocess(s1,s2,s3,s4,s5,s6,s7,s8,clr)beginif(clr =0) thenq1=1;q2=1;q3=1;q4=1;q5=1;q6=1;q7=1;q8=1;elseq1=s1;q2=s2;q3=s3;q4=s4;q5=s5;q6=s6;q7=s7;q8=s8;end if;end process;END a;三 抢答成功扬声器发声程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY cnt ISPORT(clk,en: in STD_LOGIC

21、; sound1:out STD_LOGIC);END cnt;ARCHITECTURE a OF cnt ISBEGINprocess(en,clk)beginif(clkevent and clk=1) then if(en=1) then sound1=1; else sound1 BCD BCD BCD BCD BCD BCD BCD BCD BCD BCD BCD =00000000;END CASE;END PROCESS; END a;第二节 编译管脚设置程序输入完成后然后选择用于编程的目标芯片:选择菜单 “Assign”“Device”, 窗口中的 Device Family

22、是器件序列栏, 先在此栏中选择 MAX7000S。然后选择 EMP7128SLC84- 15 器件, 按 OK,就可以进行编译了,经“MAX+PLUSE II”中的“Compiler”菜单编译,以验证设计结果是否符合要求,如果有问题,则返回原设计文件再次进行修改, 直到正确为止。编译无误后经“MAX+PLUSE II”中的“FLOORPLAN EDITOR” 菜单,进行输入、输出管脚设置,将元件端口放置到 EPM7128SLC84- 15芯片适当的I/O 口,并用手工调整按图十三所示设置。第三节 仿真编译成功后进行仿真。首先建立波形文件。波形文件建好 并存盘后。选择菜单“Max+plusII”

23、“simulator”,启动仿真操作,结束后观察仿真波形( 图十四所示) 。从仿真波形看, 符合设计要求。图十四 顶层仿真波形图s1,s2,s3,s4,s5,s6,s7,s8输入q1,q2,q3,q4,q5,q6,q7,q8锁存输出M编码输出和BCD显示输入clk时钟clr 0,清零 1为开始抢答en抢答成功BCD数码管显示输出心得体会在课程设计的这段时间里,我认为收获还是很多的,不但进一步掌握了数字电子技术的基础知识及一门专业仿真软件的基本操作,还提高了自己的设计能力及动手能力,同时对于智能抢答器来了个系统的总结。更多的是让我看清了自己,明白了凡事需要耐心,实践是检验学习的唯一标准。理论知识

24、的不足在这次课设中表现的很明显。这将有助于我今后的学习,端正自己的学习态度,从而更加努力的学习。总之,通过本次课程设计不但让我又学到了一些知识,而且也提高了我的综合能力。使我在各方面都得到了锻炼,非常感谢我的同组同学,也非常感谢我们的指导老师,使我们这次的课程设计任务圆满完成。参考文献1康华光 主编,电子技术基础-数字部分,高等教育出版社,1998。2谭会生等 主编,EDA技术及应用,西安电子科技大学出版社,20013潘松等 主编,EDA技术实用教程,科学出版社,20064雷伏容 主编,VHDL电路设计,清华大学出版社,20065Charles H.Roth等著,数字系统设计与VHDL,电子工

25、业出版社6丁建伟.抢答器电路设计J.兰州工业高等专科学校学报,2008,(04).13-17. 7王冬梅,张建秋.八路抢答器设计与实现J.佳木斯大学学报(自然科学版), 2009,(06).22-26.8蔡明生,黎福海,徐文玉.电子设计.北京:高等教育出版社.2004.9王树昆,赵晓巍,EDA技术在教学中的应用.吉林工程技术师范学院学报,2003;19(9):4-7附录 元器件清单:74LS48 3个电阻68 K 1个74LS121 1个电阻510 2个74LS148 1个电容10uF 2个74LS192 2个电容0.1uF 1个74LS279 1个电容100uF 1个NE555 2个发光二极

26、管 2个电阻1 K 1个按键开关 9个电阻10 K 9个共阴数码管 3个电阻15 K 1个74LS00 1个电阻4.7 K 1个74LS11 1个电阻5.1 K 1个三极管3DG12 1个电阻100 K1个总程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY total ISPORT(clk: IN STD_LOGIC; clr: IN STD_LOGIC;s1,s2,s3,s4,s5,s6,s7,s8 : IN STD_LOGIC;sound1 : OUT STD_LOGIC;

27、BCD: OUT STD_LOGIC_vector(7 downto 0); END total;ARCHITECTURE total_run OF total ISCOMPONENT change PORT(q1,q2,q3,q4,q5,q6,q7,q8: IN STD_LOGIC; clr: IN STD_LOGIC; m: OUT STD_LOGIC_vector(3 downto 0); en: OUT STD_LOGIC);END COMPONENT;COMPONENT cntPORT(clk,en: in STD_LOGIC; sound1:out STD_LOGIC);END C

28、OMPONENT;COMPONENT displayPORT(l: IN STD_LOGIC_VECTOR(3 downto 0);BCD1: OUT STD_LOGIC_VECTOR(7 downto 0);END COMPONENT;signal en : STD_LOGIC;signal m : STD_LOGIC_vector(3 downto 0); signal q1,q2,q3,q4,q5,q6,q7,q8 : STD_LOGIC;BEGIN u1 : lock PORT MAP(s1,s2,s3,s4,s5,s6,s7,s8,clr,q1,q2,q3,q4,q5,q6,q7,q8); u2 : change PORT MAP(q1,q2,q3,q4,q5,q6,q7,q8,clr,m,en); u3 : cnt PORT MAP(clk,en,sound1); u4 : display PORT MAP(m, BCD);END total_run;27

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!