QuartusII应用初步

上传人:痛*** 文档编号:200447255 上传时间:2023-04-15 格式:PPT 页数:78 大小:3.01MB
收藏 版权申诉 举报 下载
QuartusII应用初步_第1页
第1页 / 共78页
QuartusII应用初步_第2页
第2页 / 共78页
QuartusII应用初步_第3页
第3页 / 共78页
资源描述:

《QuartusII应用初步》由会员分享,可在线阅读,更多相关《QuartusII应用初步(78页珍藏版)》请在装配图网上搜索。

1、 第第5章章 Quartus II应用初步应用初步 5.1 基本设计流程基本设计流程 5.1.1建立工作库文件夹和编辑设计文件建立工作库文件夹和编辑设计文件5.1 基本设计流程基本设计流程 5.1.2创建工程创建工程打开并建立新工程管理窗口。打开并建立新工程管理窗口。5.1 基本设计流程基本设计流程 5.1.2创建工程创建工程将设计文件加入工程中。将设计文件加入工程中。5.1 基本设计流程基本设计流程 选择目标芯片。选择目标芯片。5.1 基本设计流程基本设计流程 5.1.2创建工程创建工程工具设置。工具设置。结束设置。结束设置。5.1 基本设计流程基本设计流程 5.1.3编译前设置编译前设置选

2、择选择FPGA目标芯片。目标芯片。选择配置器件的工作方式。选择配置器件的工作方式。5.1.3编译前设置编译前设置5.1 基本设计流程基本设计流程 5.1.3编译前设置编译前设置选择配置器件和编程方式。选择配置器件和编程方式。选择目标器件引脚端选择目标器件引脚端口状态。口状态。选择确认选择确认Veriolg语语言版本。言版本。5.1 基本设计流程基本设计流程 5.1.4全程编译全程编译 5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真打开波形编辑器。打开波形编辑器。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真设置仿真时间区域。设置仿真时间区域。波形文件存盘。波形文件存

3、盘。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真将工程将工程CNT10的端口信号节点选入波形编辑器中。的端口信号节点选入波形编辑器中。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真将工程将工程CNT10的端口信号节点选入波形编辑器中。的端口信号节点选入波形编辑器中。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真编辑输入波形编辑输入波形(输入激励信号输入激励信号)。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真总线数据格式设置和参数设置。总线数据格式设置和参数设置。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真总线数据格式设

4、置和参数设置。总线数据格式设置和参数设置。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真总线数据格式设置和参数设置。总线数据格式设置和参数设置。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真仿真器参数设置。仿真器参数设置。5.1 基本设计流程基本设计流程 5.1.5时序仿真时序仿真启动仿真器。启动仿真器。观察仿真结果。观察仿真结果。5.1 基本设计流程基本设计流程 5.1.6应用应用RTL电路图观察器电路图观察器5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.1引脚锁定引脚锁定5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.1引脚锁定引脚锁定5.2 引脚设置

5、与硬件验证引脚设置与硬件验证5.2.1引脚锁定引脚锁定5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.1引脚锁定引脚锁定5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.1引脚锁定引脚锁定5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.2编译文件下载编译文件下载(1)打开编程窗和配置文件。)打开编程窗和配置文件。5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.2编译文件下载编译文件下载(2)设置编程器。)设置编程器。5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.2编译文件下载编译文件下载(3)硬件测试。)硬件测试。5.2.3AS模式编程模式编程 5.2 引脚设置与硬件验证引

6、脚设置与硬件验证5.2.4JTAG间接模式编程配置器件间接模式编程配置器件 1.将将SOF文件转化为文件转化为JTAG间接配置文件。间接配置文件。5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.4JTAG间接模式编程配置器件间接模式编程配置器件 1.将将SOF文件转化为文件转化为JTAG间接配置文件。间接配置文件。5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.4JTAG间接模式编程配置器件间接模式编程配置器件 1.将将SOF文件转化为文件转化为JTAG间接配置文件。间接配置文件。5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.4JTAG间接模式编程配置器件间接模式编程配置器件 2

7、.下载下载JTAG间接配置文件。间接配置文件。5.2.5USB-Blaster编程配置器件使用方法编程配置器件使用方法5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.6其他的锁定引脚方法其他的锁定引脚方法 5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.6其他的锁定引脚方法其他的锁定引脚方法 5.2 引脚设置与硬件验证引脚设置与硬件验证5.2.6其他的锁定引脚方法其他的锁定引脚方法 5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法1打开打开SignalTapII编辑窗口编辑窗口5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法2调入待测信号调入待测信号5.3 嵌入式逻辑分

8、析仪使用方法嵌入式逻辑分析仪使用方法3SignalTapII参数设置参数设置5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法4文件存盘文件存盘5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法5编译下载编译下载 5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法6启动启动SignalTapII进行采样与分析进行采样与分析5.3 嵌入式逻辑分析仪使用方法嵌入式逻辑分析仪使用方法6启动启动SignalTapII进行采样与分析进行采样与分析7SignalTapII的其他设置和控制方法的其他设置和控制方法5.4 编辑编辑SignalTap II的触发信号的触发信号5.4 编辑编辑S

9、ignalTap II的触发信号的触发信号5.4 编辑编辑SignalTap II的触发信号的触发信号5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程1.为本项工程设计建立文件夹为本项工程设计建立文件夹2.建立原理图文件工程和仿真建立原理图文件工程和仿真5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程2.建立原理图文件工程和仿真建立原理图文件工程和仿真5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程3.将设计项目设置成可调用的元件将设计项目设置成可调用的元件 5.5 原理图输入设计方法原理图输

10、入设计方法5.5.1层次化设计流程层次化设计流程4.设计全加器顶层文件设计全加器顶层文件5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程4.设计全加器顶层文件设计全加器顶层文件5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程4.设计全加器顶层文件设计全加器顶层文件5.5 原理图输入设计方法原理图输入设计方法5.5.1层次化设计流程层次化设计流程5.将设计项目进行时序仿真将设计项目进行时序仿真5.5 原理图输入设计方法原理图输入设计方法5.5.2应用宏模块的多层次原理图设计应用宏模块的多层次原理图设计 1.计数器设计计数器设计

11、5.5 原理图输入设计方法原理图输入设计方法5.5.2应用宏模块的多层次原理图设计应用宏模块的多层次原理图设计 1.计数器设计计数器设计 5.5 原理图输入设计方法原理图输入设计方法2.频率计主结构电路设计频率计主结构电路设计5.5 原理图输入设计方法原理图输入设计方法5.5.2应用宏模块的多层次原理图设计应用宏模块的多层次原理图设计 2.频率计主结构电路设计频率计主结构电路设计3.时序控制电路设计时序控制电路设计4.顶层电路设计顶层电路设计5.5 原理图输入设计方法原理图输入设计方法5.5.2应用宏模块的多层次原理图设计应用宏模块的多层次原理图设计 4.顶层电路设计顶层电路设计5.5 原理图

12、输入设计方法原理图输入设计方法5.5.374系列宏模块逻辑功能真值表查询系列宏模块逻辑功能真值表查询习习 题题5-1归纳利用归纳利用QuartusII进行进行Verilog文本输入设计的流程:从文件输入一直到文本输入设计的流程:从文件输入一直到SignalTapII测试。测试。5-2由图由图5-35和图和图5-36,详细说明工程,详细说明工程CNT10的硬件工作情况。的硬件工作情况。5-3如何为设计中的如何为设计中的SignalTapII加入独立采样时钟?试给出完整的程序和对它的加入独立采样时钟?试给出完整的程序和对它的实测结果。实测结果。5-4参考参考QuartusII的的Help,详细说明

13、,详细说明Assignments菜单中菜单中Settings对话框的功能。对话框的功能。(1)说明其中的说明其中的TimingRequirements&Qptions的功能、使用方法和检测途径。的功能、使用方法和检测途径。(2)说明其中的说明其中的CompilationProcess的功能和使用方法。的功能和使用方法。(3)说明说明Analysis&SynthesisSetting的功能和使用方法,以及其中的的功能和使用方法,以及其中的SynthesisNetlistOptimization的功能和使用方法。的功能和使用方法。(4)说明说明FitterSettings中的中的DesignAss

14、istant和和Simulator功能,举例说明它们功能,举例说明它们的使用方法。的使用方法。习习 题题5-5概述概述Assignments菜单中菜单中AssignmentEditor的功能,举例说明。的功能,举例说明。5-6用用74148和与非门实现和与非门实现8421BCD优先编码器,用三片优先编码器,用三片74139组成一个组成一个5-24译码译码器。器。5-7用用74283加法器和逻辑门设计实现一位加法器和逻辑门设计实现一位8421BCD码加法器电路,输入输出均是码加法器电路,输入输出均是BCD码,码,CI为低位的进位信号,为低位的进位信号,CO为高位的进位信号,输入为两个为高位的进位

15、信号,输入为两个1位十进制数位十进制数A,输出用,输出用S表示。表示。5-8用原理图输入方式设计一个用原理图输入方式设计一个7人表决电路,参加表决者人表决电路,参加表决者7人,同意为人,同意为1,不同意,不同意为为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。5-9基于原理图输入方式,用基于原理图输入方式,用D触发器构成按循环码触发器构成按循环码(000-001-011-111-101-100-000)规律工作的六进制同步计数器。规律工作的六进制同步计数器。5-10基于原理图输入方式,应用基于原理图输入方式,应用4位全

16、加器和位全加器和74374构成构成4位二进制加法计数器。位二进制加法计数器。如果使用如果使用74299、74373、D触发器和非门来完成上述功能,应该有怎样的电路?触发器和非门来完成上述功能,应该有怎样的电路?习习 题题5-11用一片用一片74163和两片和两片74138构成一个具有构成一个具有12路脉冲输出的数据分配器。要求路脉冲输出的数据分配器。要求在原理图上标明第在原理图上标明第1路到第路到第12路输出的位置。若改用一片路输出的位置。若改用一片74195代替以上的代替以上的74163,试完成同样的设计。,试完成同样的设计。5-12用同步时序电路对串行二进制输入进行奇偶校验,每检测用同步时

17、序电路对串行二进制输入进行奇偶校验,每检测5位输入,输出一位输入,输出一个结果。当个结果。当5位输入中位输入中1的数目为奇数时,在最后一位的时刻输出的数目为奇数时,在最后一位的时刻输出1。5-13用用7490设计模为设计模为872的计数器,且输出的个位、十位、百位都应符合的计数器,且输出的个位、十位、百位都应符合8421码码权重。权重。实验与设计实验与设计5-1设计含异步清零和同步加载与时钟使能的计数器设计含异步清零和同步加载与时钟使能的计数器(1)实验目的:熟悉实验目的:熟悉QuartusII的的Verilog文本设计流程全过程,学习计数器的设计、文本设计流程全过程,学习计数器的设计、仿真和

18、硬件测试。掌握原理图与文本混合设计方法。仿真和硬件测试。掌握原理图与文本混合设计方法。(2)实验原理:参考节。实验程序为例实验原理:参考节。实验程序为例4-21,设计流程参考本章。,设计流程参考本章。(3)实验内容实验内容1:根据节在:根据节在QuartusII上对例上对例4-21进行编辑、编译、综合、适配、仿进行编辑、编译、综合、适配、仿真。说明例中各语句的作用。给出其所有信号的时序仿真波形,根据波形详细描述真。说明例中各语句的作用。给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括此设计的功能特点,包括RST、EN、LOAD、DATA,CLK等信号等异步和同步特等信号等异

19、步和同步特性。查阅编译后的计数器的时序特点,从时序仿真图和编译报告中了解计数时钟输性。查阅编译后的计数器的时序特点,从时序仿真图和编译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况;以及当选择入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况;以及当选择不同不同FPGA目标器件后的延时差距及毛刺情况,给出分析报告。目标器件后的延时差距及毛刺情况,给出分析报告。实验与设计实验与设计(4)实验内容实验内容2:用不同方式锁定锁定以及硬件下载测试。引脚锁定后进行编译、:用不同方式锁定锁定以及硬件下载测试。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实

20、验结果写进实验报告。硬件实验中,注意测下载和硬件测试实验。将实验过程和实验结果写进实验报告。硬件实验中,注意测试所有控制信号和显示信号,包括试所有控制信号和显示信号,包括RST、EN、LOAD、DATA等的同步、异步特性,等的同步、异步特性,进位信号等。时钟进位信号等。时钟CLK换不同输入:手动有抖动或无抖动键输入,换不同输入:手动有抖动或无抖动键输入,1Hz或或4Hz时钟时钟脉冲输入,这需要辅助实验板才能获得。辅助实验板的具体用法参考附录。第脉冲输入,这需要辅助实验板才能获得。辅助实验板的具体用法参考附录。第8章章将介绍如何硬件去抖动。将介绍如何硬件去抖动。(5)实验内容实验内容3:使用:使

21、用SignalTapII对此计数器进行实时测试,流程与要求参考本章,对此计数器进行实时测试,流程与要求参考本章,给出报告。给出报告。(6)实验内容实验内容4:从设计中去除:从设计中去除SignalTapII,要求全程编译后,将生成的,要求全程编译后,将生成的SOF文件文件转变成用于配置器件转变成用于配置器件EPCS16/EPCS4的压缩的间接配置文件的压缩的间接配置文件*.jic,并使用,并使用USB-Blaster对实验板上的对实验板上的EPCS16/4进行编程,最后进行验证。编程和全程编译前,按进行编程,最后进行验证。编程和全程编译前,按图所示,设定所有控制和参数。图所示,设定所有控制和参

22、数。(7)实验内容实验内容5:为此项设计加入一个可用于:为此项设计加入一个可用于SignalTapII采样的独立的时钟输入端采样的独立的时钟输入端CLK0。计数时钟可以低一点,而采样时钟可高一些,如选择。计数时钟可以低一点,而采样时钟可高一些,如选择clock0=2MHz,而计,而计数时钟数时钟CLK可分别选择可分别选择256Hz、16384Hz、6MHz,并进行实时测试(对于,并进行实时测试(对于5E+系系统,这些时钟要来自图统,这些时钟要来自图F1-2的实验板)。的实验板)。实验与设计实验与设计(8)实验内容实验内容6:建立一个原理图工程,将例:建立一个原理图工程,将例4-21文件变成图文

23、件变成图5-57所示的所示的CNT10元元件。然后按照此图的连接方式完成设计。对此电路进行仿真,并说明此电路的功能件。然后按照此图的连接方式完成设计。对此电路进行仿真,并说明此电路的功能特点。如何利用此电路设计一个不同模的计数器,或可预置的分频器特点。如何利用此电路设计一个不同模的计数器,或可预置的分频器?最后在开发最后在开发板上硬件实现,验证分频情况。板上硬件实现,验证分频情况。实验与设计实验与设计(9)实验报告:将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果实验报告:将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果写进实验报告。写进实验报告。对于对于5E+系统的演示,

24、下载系统的演示,下载/KX_7C5EE+/EXPERIMENTs/EXP3_CNT10B/CNT10即可。此即可。此示例定义:(示例定义:(1)4位计数器显示数码是位计数器显示数码是LEDA;(;(2)进位显示是发光管)进位显示是发光管D1;(3)时钟)时钟CLK控制:键控制:键K8(注意这(注意这8个键都未消抖动,按键后可能出现多个计数,个键都未消抖动,按键后可能出现多个计数,消抖动技术于第消抖动技术于第8章介绍);(章介绍);(4)使能控制)使能控制EN:键:键K7(按住此键,即禁止计数,(按住此键,即禁止计数,此键也被定义为逻辑分析仪采样触发键);(此键也被定义为逻辑分析仪采样触发键);

25、(5)数据加载控制)数据加载控制LOAD:键:键K6(待(待加载的加载的4位数据由上方的拨码开关设定。由于是同步加载,操作时先按住键位数据由上方的拨码开关设定。由于是同步加载,操作时先按住键K6,再,再按一下时钟键按一下时钟键K8,即加载,从数码管可以看到);(,即加载,从数码管可以看到);(6)清)清0控制控制RST:键:键K5。演示示例说明:本书对多数实验都给出了经硬件验证调试好的演示示例,目的就是演示示例说明:本书对多数实验都给出了经硬件验证调试好的演示示例,目的就是为学习者能顺利完成实验验证或设计,有的示例的目的是希望能启发或引导读者完为学习者能顺利完成实验验证或设计,有的示例的目的是

26、希望能启发或引导读者完成更有创意的设计,其中一些示例尽管看上去颇有创意,但都不能说是最佳或最终成更有创意的设计,其中一些示例尽管看上去颇有创意,但都不能说是最佳或最终结果。此外还有少数示例未给源代码,是考虑到非本书作者以外的设计者的著作权,结果。此外还有少数示例未给源代码,是考虑到非本书作者以外的设计者的著作权,但这些示例仍能给读者在设计的可行性、创意、启迪和创新方面以宝贵的启示。示但这些示例仍能给读者在设计的可行性、创意、启迪和创新方面以宝贵的启示。示例分两部分,在文件夹例分两部分,在文件夹/KX_7C5EE+/EXPERIMENTs/中的示例包含完整的源代码,并中的示例包含完整的源代码,并

27、公开全部设计;而在文件夹公开全部设计;而在文件夹/KX_7C5EE+/DEMOs/中的设计,仅供演示,未提供源代中的设计,仅供演示,未提供源代码。所有的示例演示操作都有详细说明,可分别参考对应文件夹中的码。所有的示例演示操作都有详细说明,可分别参考对应文件夹中的PDF文件。文件。实验与设计实验与设计5-24选选1多路选择器设计实验多路选择器设计实验(1)实验目的:进一步熟悉实验目的:进一步熟悉QuartusII的的Verilog文本设计流程,组合电路的设计仿文本设计流程,组合电路的设计仿真和硬件测试。真和硬件测试。(2)实验内容实验内容1:根据节的流程,利用:根据节的流程,利用QuartusI

28、I完成完成4选选1多路选择器(例多路选择器(例4-1)的)的文本编辑输入文本编辑输入(MUX41a.v)和仿真测试等步骤,给出图和仿真测试等步骤,给出图4-2所示的仿真波形。所示的仿真波形。(3)实验内容实验内容2:在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及:在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试。建议选实验电路模式硬件下载测试。建议选实验电路模式5(附录图(附录图F2-4),用键),用键1(PIO0)控制控制s0;用;用键键2(PIO1)控制控制s1;A、B、C和和D分别接来自不同的时钟或键;输出信号接蜂鸣器分别接来自不同的时钟或键;输出信号接蜂鸣

29、器(5E+板的引脚标于板上,是板的引脚标于板上,是11)。最后进行编译、下载和硬件测试实验(通过选)。最后进行编译、下载和硬件测试实验(通过选择键择键1、键、键2,控制,控制s0、s1,可使蜂鸣器输出不同音调)。,可使蜂鸣器输出不同音调)。(4)实验内容实验内容3:对:对Verilog不同描述方式的不同描述方式的4选选1多路选择器进行硬件实验,比较它多路选择器进行硬件实验,比较它们的特性。们的特性。(5)实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波

30、形图及其分析报告。真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。对于对于5E+系统的演示,下载系统的演示,下载/KX_7C5EE+/EXPERIMENTs/EXP0_MUX41/MUX41B。分别按。分别按下或放开键下或放开键K1,K2,蜂鸣器将发出,蜂鸣器将发出4种不同声音。种不同声音。实验与设计实验与设计5-3用原理图输入法设计用原理图输入法设计8位全加器位全加器(1)实验目的:熟悉利用实验目的:熟悉利用QuartusII的原理图输入方法设计简单组合电路,掌握层次的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个化设计的方法,并通过一个8位全加器

31、的设计把握利用位全加器的设计把握利用EDA软件进行原理图输入方式软件进行原理图输入方式设计的详细流程。设计的详细流程。(2)实验原理:一个实验原理:一个8位全加器可以由位全加器可以由8个节介绍的个节介绍的1位全加器构成,加法器间的进位位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进与相邻的高位加法器的最低进位输入信号位输入信号cin相接。相接。(3)实验内容实验内容1:按照节完成半加器和:按照节完成半加器和1位全加器的设计,包括原理图输入、编译、位全加器的设计,包括原理图输入、编译、综合、适配、仿

32、真、实验板上的硬件测试,并将此全加器电路设置成一个硬件符号综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个硬件符号入库。键入库。键1、键、键2、键、键3(PIO0/1/2)分别接分别接ain、bin、cin;发光管;发光管D2、D1(PIO9/8)分分别接别接sum和和cout。对于。对于5E+系统,可用拨码开关作为输入信号发生器,输出用发光系统,可用拨码开关作为输入信号发生器,输出用发光管显示。管显示。(4)实验内容实验内容2,建立一个更高层次的原理图设计,利用以上获得的,建立一个更高层次的原理图设计,利用以上获得的1位全加器构成位全加器构成8位全加器,并完成编译、综合、适配

33、、仿真和硬件测试。建议选择电路模式位全加器,并完成编译、综合、适配、仿真和硬件测试。建议选择电路模式1(附录(附录图图F2-2);键);键2、键、键1输入输入8位加数;键位加数;键4、键、键3输入输入8位被加数;数码位被加数;数码6和数码和数码5显示加显示加和;和;D8显示进位显示进位cout。对于。对于5E+系统,须利用图系统,须利用图F1-2的辅助实验板上的的辅助实验板上的8位输出信位输出信号加主板上的两个拨码开关作加法输入数据。号加主板上的两个拨码开关作加法输入数据。(5)实验报告:详细叙述实验报告:详细叙述8位加法器的设计流程;给出各层次的原理图及其对应的仿位加法器的设计流程;给出各层

34、次的原理图及其对应的仿真波形图;给出加法器的时序分析情况,分析此加法器的工作速度;最后给出硬件真波形图;给出加法器的时序分析情况,分析此加法器的工作速度;最后给出硬件测试流程和结果。测试流程和结果。实验与设计实验与设计5-4十六进制十六进制7段数码显示译码器设计段数码显示译码器设计(1)实验目的:学习实验目的:学习7段数码显示译码器的段数码显示译码器的Verilog设计和硬件验证。设计和硬件验证。(2)实验原理:实验原理:7段数码是纯组合电路。通常的小规模专用段数码是纯组合电路。通常的小规模专用IC,如,如74或或4000系列的器系列的器件只能作十进制件只能作十进制BCD码译码,然而数字系统中

35、的数据处理和运算都是二进制的,所码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是以输出表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是利用利用Verilog译码程序在译码程序在FPGA/CPLD中来实现。所以首先要设计一段程序。该程序中来实现。所以首先要设计一段程序。该程序可按照例可按照例4-1的的case语句表述方法,根据表语句表述方法,根据表5-3的真值表写的真值表写出程序。设输入的出程序。设输入的4位码为位码为A3:0,输出控制输出控制7段共阴数码管(图段共阴数码管(图5-59)的的7位数据为

36、位数据为LED7S6:0。输出信。输出信号号LED7S的的7位分别接图位分别接图5-59的共的共阴数码管的阴数码管的7个段,高位在左,低个段,高位在左,低位在右。例如当位在右。例如当LED7S输出为输出为“1101101”时,数码管的时,数码管的7个段个段g、f、e、d、c、b、a分别接分别接1、1、0、1、1、0、1;接有高电平的段发;接有高电平的段发亮,于是数码管显示亮,于是数码管显示“5”。这里没。这里没有考虑表示小数点的发光管,如果有考虑表示小数点的发光管,如果要考虑,需要增加段要考虑,需要增加段h,然后将,然后将LED7S改为改为8位输出。位输出。实验与设计实验与设计(3)实验内容实

37、验内容1:将设计好的:将设计好的Verilog译码器程序在译码器程序在QuartusII上进行编辑、编译、综上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。提示:设仿真激励信号时用输合、适配、仿真,给出其所有信号的时序仿真波形。提示:设仿真激励信号时用输入总线的方式给出输入信号仿真数据,仿真波形图如图入总线的方式给出输入信号仿真数据,仿真波形图如图5-58所示。所示。(4)实验内容实验内容2:引脚锁定及硬件测试。若用附录的:引脚锁定及硬件测试。若用附录的PK4系统实现,建议选择实验电系统实现,建议选择实验电路模式路模式6(参考图参考图F2-5),用数码,用数码8显示译码输出显

38、示译码输出(PIO46-PIO40),键,键8、键、键7、键、键6和键和键5四位控制输入,硬件验证其工作性能。若是四位控制输入,硬件验证其工作性能。若是5E+系统,输入码系统,输入码A3:0可锁定于上方可锁定于上方的的4位拨码开关(位拨码开关(Pin88、89、90、91),输出信号),输出信号LED7S6:0锁定于数码管锁定于数码管LEDC,对应的引脚示于左下角(,对应的引脚示于左下角(a、b、c、d、e、f、g、p分别对应分别对应Pin58、55、54、53、52、51、50、49。p对应小数点)。对应小数点)。实验与设计实验与设计(5)实验内容实验内容3:用第:用第4章介绍的例化语句,按

39、图章介绍的例化语句,按图5-60的方式连接成顶层设计电路的方式连接成顶层设计电路(用用Verilog表述表述),图中的,图中的CNT4B是一个是一个4位二进制加法计数器,即例位二进制加法计数器,即例4-20。模块。模块DECL7S即为以上的即为以上的7段译码设计文件。重复以上实验过程。注意图段译码设计文件。重复以上实验过程。注意图5-60中的中的tmp是是4位总线,位总线,led是是7位总线。位总线。5E+系统上的系统上的3个数码管都是共阴数码管,其中两个(个数码管都是共阴数码管,其中两个(LEDA和和LEDB)已配有)已配有7段段16进制译码模块,所以直接输入进制译码模块,所以直接输入4位二

40、进制数即可显示数据。位二进制数即可显示数据。LEDC没有译码模块。没有译码模块。实验与设计实验与设计(6)实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件)实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和实验过程;设计程序、程序分析报告、仿真波编译、仿真分析、硬件测试和实验过程;设计程序、程序分析报告、仿真波形图及其分析报告。形图及其分析报告。对于对于5E+系统的演示,下载系统的演示,下载/KX_7C5EE+/EXPERIMENTs/EXP2_7S_DECODER/即即可。此例定义:可。此例定义:4位输入由上方的位输入由上方的4位拨码开关控制,

41、显示数码管是位拨码开关控制,显示数码管是LEDC(注意此数码管是未译码的,与(注意此数码管是未译码的,与LEDA和和LEDB不同)。另外,下方的拨码不同)。另外,下方的拨码开关空闲时,都必须拨向左侧开关空闲时,都必须拨向左侧H,因为它们与键复用),因为它们与键复用)实验与设计实验与设计5-5原理图输入法设计原理图输入法设计8位十进制显示的频率计位十进制显示的频率计(1)实验目的:熟悉原理图输入法中实验目的:熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。完成更复杂的原理图层次化设计技术和数字系统设计方法。完成

42、8位十进制频率位十进制频率计的设计。此设计将会用到实验计的设计。此设计将会用到实验9-2。(2)原理说明:利用节介绍的原理说明:利用节介绍的2位计数器模块,连接它们的计数进位,用四位计数器模块,连接它们的计数进位,用四个计数模块就能完成一个个计数模块就能完成一个8位有时钟使能的计数器;对于测频控制器的控制位有时钟使能的计数器;对于测频控制器的控制信号,在仿真过程中应该注意它们可能的毛刺现象。最后按照设计流程和方信号,在仿真过程中应该注意它们可能的毛刺现象。最后按照设计流程和方法即可完成全部设计。法即可完成全部设计。(3)实验内容实验内容1:首先根据节完成:首先根据节完成2位频率计的设计,包括各

43、模块和顶层系统位频率计的设计,包括各模块和顶层系统的仿真测试,然后进行硬件测试,建议选择电路模式的仿真测试,然后进行硬件测试,建议选择电路模式6(附录图(附录图F2-5);数);数码码2和和1显示输出频率值,待测频率显示输出频率值,待测频率F_IN接接clock0;测频控制时钟;测频控制时钟CLK接接clock2,若选择,若选择clock2=8Hz(如果实验系统无此时钟频率,可从其他频率(如果实验系统无此时钟频率,可从其他频率用用D触发器分频得到),门控信号触发器分频得到),门控信号CNT_EN的脉宽恰好为的脉宽恰好为1s。对于对于5E+系统,两位十进制输出显示可直接利用主板上的两个数码管显示

44、。系统,两位十进制输出显示可直接利用主板上的两个数码管显示。但被测频率和频率计控制时钟都必须来自图但被测频率和频率计控制时钟都必须来自图F1-2的辅助实验板的辅助实验板A。实验与设计实验与设计(4)实验内容实验内容2:设计一个全新的电路,能取代图:设计一个全新的电路,能取代图5-53电路的功能,仿真并电路的功能,仿真并硬件测试。硬件测试。(5)实验内容实验内容3:然后建立一个新的原理图设计层次,在此基础上将其扩展:然后建立一个新的原理图设计层次,在此基础上将其扩展为为8位频率计,仿真测试该频率计待测信号的最高频率,并与硬件实测的结位频率计,仿真测试该频率计待测信号的最高频率,并与硬件实测的结果

45、进行比较。对于果进行比较。对于5E+系统,可利用主板的系统,可利用主板的2个数码管加图个数码管加图F1-2图的板上的图的板上的6个数码管来显示。个数码管来显示。(6)实验报告:给出各层次的原理图、工作原理、仿真波形,详述硬件实验实验报告:给出各层次的原理图、工作原理、仿真波形,详述硬件实验过程和实验结果。过程和实验结果。对于对于5E+系统的演示,需要利用附图图系统的演示,需要利用附图图F1-2的辅助开发的辅助开发A板的数码显示和标板的数码显示和标准频率。用准频率。用3根根10芯线分别连接主板的芯线分别连接主板的FJ9、FJ2、FJ3口到口到A板对应的板对应的3个个10芯口。待测频率信号也来自该

46、板右侧的数个标准频率。演示示例:芯口。待测频率信号也来自该板右侧的数个标准频率。演示示例:/KX_7C5EE+/EXPERIMENTs/EXP10_FTEST_6LED/CNT6B。待测频率信号进入左。待测频率信号进入左侧的侧的“Pin25”口。如果希望显示口。如果希望显示8位,则需要将主板的两位数码管也加入位,则需要将主板的两位数码管也加入进来。进来。实验与设计实验与设计5-6数码扫描显示电路设计数码扫描显示电路设计(1)实验目的:学习硬件扫描显示电路的设计。)实验目的:学习硬件扫描显示电路的设计。(2)实验原理:图)实验原理:图5-61所示的是所示的是8位数码扫描显示电路,其中每个数码管的

47、位数码扫描显示电路,其中每个数码管的8个段个段h、g、f、e、d、c、b、a(h是小数点)都分别连在一起,是小数点)都分别连在一起,8个数码管分别由个数码管分别由8个选通信个选通信号号k1k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3为高电为高电平,其余选通信号为低电平,这时仅平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而对应的数码管显示来自段信号端的数据,而其他其他7个数码管呈现关闭状态。根据这种电路状况,如果希望在个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望个数码管

48、显示希望的数据,就必须使得的数据,就必须使得8个选通信号个选通信号k1k8分别被单独选通,同时在段信号输入口加分别被单独选通,同时在段信号输入口加上希望该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示上希望该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。的目的。实验与设计实验与设计(3)实验内容:给出)实验内容:给出Verilog设计程序。对其进行编辑、编译、综合、适配、设计程序。对其进行编辑、编译、综合、适配、仿真,给出仿真波形。进行硬件测试。将实验过程和实验结果写进实验报告。仿真,给出仿真波形。进行硬件测试。将实验过程和实验结果写进实验报告。演示示例,演示示例,/KX_7C5EE+/EXPERIMENTs/EXP29_SCAN_LED/SCAN_LED。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!