交通灯信号控制器

上传人:仙*** 文档编号:181749278 上传时间:2023-01-16 格式:DOC 页数:26 大小:1.72MB
收藏 版权申诉 举报 下载
交通灯信号控制器_第1页
第1页 / 共26页
交通灯信号控制器_第2页
第2页 / 共26页
交通灯信号控制器_第3页
第3页 / 共26页
资源描述:

《交通灯信号控制器》由会员分享,可在线阅读,更多相关《交通灯信号控制器(26页珍藏版)》请在装配图网上搜索。

1、西华大学课程设计说明书 成绩 课 程 设 计 说 明 书课程设计名称: EDA技术课程设计 题 目: 交通灯信号控制器 学 生 姓 名: 专 业: 信息工程 学 号: 指 导 教 师: 日期:2011年6月 24日摘要: Verilog HDL作为一种规范的硬件描述语言,被广泛的运用于电路的设计之中,本设计通过FPGA芯片实现了交通信号灯控制电路的设计。本文采用Verilog 硬件描述语言描述了十字路口交通信号灯电路,并完成了对电路的功能仿真。交通灯的循环点亮方式采用状态机的设计思路,实现环形计数器的功能。输出部分通过组合逻辑电路实现。系统控制主要由计数器、控制器、分频器、分位译码器、和扫描显

2、示电路等组成。通过输入输出接口控制红绿灯发光二极管的亮灭和七段数码管的显示。与传统的设计方式相比,本设计由于采用了FPGA芯片来实现,它将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的功率密集度、可靠性和工作速度。关键词:现场可编程门阵列、硬件描述语言、交通灯、状态机、环形计数器Abstract: Verilog HDL as a standard of hardware description language, is widely used in the circuit design. In this design through the FPGA chip

3、realized the traffic light control circuit design. In this article, the Verilog hardware description language describes the intersection traffic light circuit, and completed the function of circuit simulation. The traffic light cycle light way using the state machine design train of thought, to real

4、ize the function of the annular counter. Output part through the assembly logic circuit implementation. System control mainly by the counter, controller, frequency division device, points a decoder, and scanning display circuit etc. Through the input/output interface control traffic lights led light

5、 destroyed and seven section of pipe that digital. Compared with the traditional design methods, the design with FPGA chips to realize, it will be a lot of circuit function integration to a chip, and can be by the user to design logical function, improve the system of power consumed, reliability and

6、 the speed of work. Keywords: FPGA Hardware Description Language Traffic lights State Machine Ring Counter前言随着电子技术的发展,人们的生活水平和质量不断提高,生活设备的智能化程度也越来越高,这些都离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要因素是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管。后者的核心就是EDA技

7、术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包。特别是近年来科技的飞速发展,EDA技术的应用不断深入,不仅带动传统控制检测技术日益更新,更在电子信息,通信,自动,控制及计算机应用等领域越显重要。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。随着电子技术的发展和人们对电子设计开发的难度及周期要求,EDA技术必将广发应用于电子设计的各个领域,因此本设计也采用了EDA的设计方法,其设计的优越性明显高于传统的设计方法。在现代城市中,人口和汽车日益增

8、长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为了交管部门管理交通的重要手段。那么,要想在十字路口中做到车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊,要靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。传统的交通信号灯控制电路是由振荡电路、三进制计数器、译码电路、显示驱动电路和开关控制电路等电路组成。在本课程设计中,通过EDA设计程序使十字路口的工作顺序为B方向红灯亮65秒,前40秒A方向绿灯亮,后5秒黄灯亮,接着15秒左转灯亮,最后5秒亮黄灯。然后A方向红灯亮55秒,前30秒B方向绿灯亮,后5秒黄灯亮,接着15秒左转灯亮,最后5秒亮黄灯,依次重复。 本

9、设计立足系统可靠性及稳定性等高技术要求,采用FPGA芯片实现汽车尾灯控制电路,其电路设计比较简单,外围电路少,易于控制和检查,较传统的分离元件实现方式有着明显的优势,尤其是其设计电路实现周期,其抗干扰及调试过程都很简单。目录1 设计背景及意义51.1 设计背景51.2 设计意义62 总体方案设计72.1 方案分析和选择72.2 实现方案83 单元模块设计113.1 晶体振荡器113.2供电电路123.3 LED灯输出电路133.4数码管输出电路134 特殊器件的介绍154.1 CPLD器件介绍154.2 FPGA器件介绍154.3 EPF10K系列器件介绍165 最小系统原理176 软件仿真与

10、调试186.1源代码及说明186.2 仿真结果206.3 调试227 总结237.1设计收获237.2 设计改进238 致谢24参考文献25附录一:电路原理图261 设计背景及意义1.1 设计背景目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flipflop)或者其他更加完整的记忆块

11、。 FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。CPLD和FPGA包括了一些相对大数量的可编程逻辑单元,CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB

12、(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的

13、,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及个模块之间或模块与I/O间的连接方式,并最终决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能, FPGA允许无限次的编程。1.2 设计意义随着人口快速的增多,交通工具的爆炸性的发展,以及道路资源的有限性,交通控制就应运而生,在人类的生活、工作环境中,交通扮演着极其重要的角色,人们的出行都无时不刻与交通打着交道。自18世纪工业革命以来,工业发展带动整个交通运输的发展,从而催生了单独的交通控制学问与管理机构。交通控制系统是近现代社会随着物流、出行等交通发展产生的一套独特的公共管理系统。要保证高效

14、安全的交通秩序,除了制定一系列的交通规则,还必须通过一定的技术手段加以实现。现代电子科学技术的发展和成熟能比较好的解决系统建立中硬软件方面要求的技术难题。目前,交通控制方面的研究能完全实现自动智能化,甚至将整个区域整合成一个统一的系统范围,还能根据正常时段以及特定突发时段的情况进行科学的自动调整。交通控制研究的发展,旨在解决人类交通因需求的增多而日益繁重带来的问题,局限于道路建设的暂时不足和交通工具的快速增长,就要使更多的车辆安全高效的利用有限的道路资源,避免因无序和抢行等无控制原因造成的不必要阻塞甚至瘫痪,另外,针对整个交通线路车辆的多少实时调整和转移多条线路的分流也十分必要。交通网络是城市

15、的动脉,象征着一个城市的工业文明水平。现在各大中城市交通建设已初具规模,而部分小城市建设日益加快,交通控制的提高势在必行,研发交通控制技术是十分有潜力的。具有优良科学的交通控制技术对资源物流和人们出行都是十分有价值的,保证交通线路的畅通安全,才能保证出行舒畅,物流准时到位,甚至是生命通道的延伸。本设计尝试利用EDA技术模拟单个路口的交通控制。2 总体方案设计2.1 方案分析和选择通过分析可以知道,所要设计的交通灯信号控制电路要能够适用于十字交叉路口。其示意图如下图所示,A方向和B方向各设红(R)、黄(Y)、绿(G)和左拐(L)四盏灯,四种灯按合理的顺序亮灭,在跳变过程中由黄灯过渡,使得行驶的车

16、辆有足够的时间停下来。还要求在A和B方向各设立一组计时显示器将各灯亮的时间以倒计时的形式显示出来。 要实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、单片机和可编程序控制器等方法。若用单片机来实现的话,其模型可以由电源电路、单片机主控电路、无线收发控制电路四部分组成。在电源电路中,需要用到+5v的直流稳压电源,无线收发控制电路和显示电路可有编码芯片和数据发射模块两部分组成,主控电路元件为AT89C51.硬件设计完成后还要利用计算机软件进行软件部分设计才能够实现相应的功能,虽然由此设计的控制器比较稳定,但这些控制方法的功能修改及调试都需要硬件电路的支持。因此,在一点程度上增加了功能修改和系

17、统设计与调试的困难 。 然而,若使用基于FPGA的设计方法则具有周期短,设计灵活,易于修改等明显的优点,随着FPGA器件、设计语言和电子设计自动化工具的发展和改进,越来越多的电子系统采用FPGA来设计。未来,使用FPGA器件设计的产品将应用于各个领域。因此,此次的交通信号灯控制设计选择采用基于FPGA的设计方案来实现所需求的功能和要求。 2.2 实现方案2.2.1 交通灯系统控制框图如图2所示:计数器红绿灯发光二极管控制器分频器分位译码器扫描显示电路七段数码管显示器 T1 CLK 1HZCLK 1HZ 图2.2.1 系统框图2.2.2计数值与交通灯亮灭关系图:设A方向的车流量较B方向大,因此设

18、A方向红、黄、绿、左拐灯亮时长分别为55、5、40、15秒,B方向红、黄、绿、左拐灯亮时长分别为65、5、30、15秒,与此同时由数码管指示当前状态(红、黄、绿、左拐)的剩余时间。A G40s Y5s L15s Y5s R55s B R65s G30s Y5s L15s Y5s 图2.2.2 交通灯亮灭关系图2.2.3环形计数状态图环形计数器是由移位寄存器加上一定的反馈电路构成的,用移位寄存器构成环形计数器是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器计数器类型的不同,可接向移位寄存器的串行输出端或某些触发器的输出端。

19、环形计数器,是把移位寄存器最低一位的串行输出端Q1反馈到最高位的串行输入端(即D触发器的数据端)而构成的。S0 reset S4S1S3S2S5 图2.2.3 环形计数状态图 S =8(A)GYLR(B)GYLRS0=1000 0001 ; S1=0100 0001S2=0010 0001 ; S3=0001 1000S4=0001 0100 ; S5=0001 0010在此设计中我们用到得为三位环形计数器,在移位脉冲(时钟)的作用下,反复在三位移位寄存器中不断循环。该环形计数的计数长度为N=n。和二进制计数器相比,它有2n-n个状态没有利用,它利用的有效状态是少的。要想使环形计器在选定的时序

20、中工作,就必须防止异常时序和死态的出现,因此我们必须对其余无效的状态全部回到有效状态中去。2.2.4 交通灯控制状态转换通过至顶向下(TOP-DOWN)的设计方法,我们对电路的设计要求作了分析,从电路要实现的功能着手,逐层分析电路设计的步骤,再具体到各个模块的设计实现以及各模块实现方案的选择。从本设计的电路要求,我们分析了需要实现一个输入状态的编码,以及对循环点亮灯的方式的选择,综合这两种状态控制输出信号的状态变化。 表 2.2.4 A方向 B方向绿灯G黄灯Y左拐L红灯R绿灯G黄灯Y左拐L红灯R10000001010000010010000101000001000110000001010000

21、010010000101003 单元模块设计 本设计由现场可编程门矩阵(FPGA)作为控制芯片,通过VreilogHDL硬件描述语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化的设计。总体设计方案为由R、G、Y、L作为模拟汽车的行驶状态输入,通过优先级编码器编码为具有优先级的A1、A0状态量;而尾灯的循环点亮状态由环形计数器来实现,与时钟频率同步闪烁状态按时钟状态取反来实现。下面介绍主要模块的功能及作用。3.1 晶体振荡器图3-1晶振电路采用有源晶振作为时钟信号源,它是一个完整的振荡器,其内部除了石英晶体外还有阻容软件和晶体管,有源晶振信号质量好,比较稳定,而且连接方式比较简单。主要

22、是作为电源滤波,通常使用的为一个电容和电感组成的PI型滤波网络,输出端使用一个小阻值电阻过滤信号。串电阻可减小反射波,避免反射波叠加引起过冲,减少谐波以及阻抗匹配,减小回波干扰及导致的信号过冲。由于本设计选用32768HZ的晶振,因其内部有15级2分频电路,所以输出端正好可以得到1HZ的标准脉冲。20MHz以上的大多是谐波的,其稳定度差。因此我们使选用基频的器件,毕竟倍频用的PLL电路需要的周边配置主要是电容、电阻、电感,其稳定度和价格方面远远好于晶体晶振器件。3.2供电电路图3-2供电电路本设计中使用到的+5V电源,可用于为上拉电阻提供电压;+3.3V电源,用于为FPGA芯片提供工作电压;在

23、FPGA芯片管脚上,而VCCIO是芯片输入输出引脚工作电源,根据输入输出的设备不同,可以接2.5 V、3.3 V或5.0 V。三端稳压器输入端接电容Ci可以进一步滤除纹波,输出端接电容C0可以改善负载的瞬态影响,使电路稳定工作。3.3 LED灯输出电路 图 3-3 LED 灯输出电路本电路设计由若干个LED灯来模拟十字路口红、黄、绿、左拐灯的亮灭状态,图中以Vcc3.3V作为控制电压当PS口为低电平时其所在的LED灯按时钟频率同步点亮,同时指示汽车的安全行驶。在LED电路上串联一个电阻,可以分压限流对LED灯起保护作用。考虑到不同颜色灯的正向压降不同,如图中用3.3V点亮RLED时,电阻RLE

24、D=(3.3-ULED)/ILED,ULED为正向压降,ILED为通过电流,一般不允许大于20mA,可见各LED所需的串联电阻大小也应有所区别。3.4数码管输出电路发光二极管(LED是一种由磷化镓(GaP)等半导体材料制成的,能直接将电能转变成光能的发光显示器件。当其内部有一一电流通过时,它就会发光。7段数码管一般由8个发光二极管组成,其中由7个细长的发光二极管组成数字显示,另外一个圆形的发光二极管显示小数点。当发光二极管导通时,相应的一个点或一个笔画发光。控制相应的二极管导通,就能显示出各种字符,尽管显示的字符形状有些失真,能显示的数符数量也有限,但其控制简单,使有也方便。此次设计采用动态显

25、示方法一位一位地轮流点亮各位显示器(扫描),对于显示器的每一位而言,每隔一段时间点亮一次。虽然在同一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄 灭时的余辉效应,看到的却是多个字符“同时”显示。显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例有关。调整电流和时间参烽,可实现亮度较高较稳定的显示。动态显示器的优点是节省硬件资源,成本较低,但在控制系统运行过程中,要保证显示器正常显示,CPU必须每隔一段时间执行一次显示子程序,这占用了CPU的大量时间,降低了CPU工作效率,同时显示亮度较静态显示器低。图3-4 数码管输出电路4 特殊器件的介绍4.1 CP

26、LD器件介绍CPLD是Complex Programmable Logic Device的缩写,它是有最早的PLD器件发展形成的高密度可编程逻辑器件,它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点。CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。许多公司都开发出了CPLD可编程逻辑器件。比较典型的就是Altera

27、、Lattice、Xilinx世界三大权威公司的产品。如 Altera公司的MAXII器件,就是其极具代表性的一类CPLD器件,是有史以来功耗最低、成本最低的CPLD。MAX II CPLD基于突破性的体系结构,在所有CPLD系列中,其单位I/O引脚的功耗和成本都是最低的。 Altera公司的MAX7000A系列器件是高密度、高性能的EPLD,它是基于第二代MAX结构,采用CMOS EPROM工艺制造的。该系列的器件具有一定得典型性,其他结构都与此结构非常的类似。它包括逻辑阵列块、宏单元、扩展乘积项、可编程连线阵列和IO控制部分。由于大多数CPLD是基于乘积项的“与或”结构,故适合设计组合逻辑

28、电路。4.2 FPGA器件介绍FPGA(FieldProgrammable Gate Array)可以达到比PLD更高的集成度,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展起来的,具有更复杂的布线结构和逻辑实现。PLD器件和FPGA的主要区别在于PLD是通过修改具有固定内连电路得逻辑功能来进行编程,而FPGA是通过修改一根或多根分割宏单元的基本功能块的内连线的布线来进行编程。它一般由可嵌入式阵列块(EAB)、逻辑阵列块(LAB)、快速互联通道(Fast Track)、IO单元(IOE)组成。Altera Cyclone II 采用全铜层、低K值、1.2伏SRAM工艺设计,裸片尺

29、寸被尽可能最小的优化。采用300毫米晶圆,以TSMC成功的90nm工艺技术为基础,Cyclone II 器件提供了4,608到68,416个逻辑单元(LE),并具有一整套最佳的功能,包括嵌入式18比特x18比特乘法器、专用外部存储器接口电路、4kbit嵌入式存储器块、锁相环(PLL)和高速差分I/O能力。Cyclone II 器件扩展了FPGA在成本敏感性、大批量应用领域的影响力,延续了第一代Cyclone器件系列的成功。4.3 EPF10K系列器件介绍FLEX10K系列器件是工业界第一个嵌入式FPGA,具有高密度低成本、低功耗等特点。该系列器件有PLCC、TQFP、PQFP三种封装形式,EP

30、F10K10是一种常见的器件,其典型门数为10000门。576个逻辑单元,72个逻辑整列块,3个嵌入式整列块,6144个RAM,720个寄存器,最大I/O数目134,EPF10K10LC84-4中84代表管脚数。其引脚图如下: 图4-3 EP1K30TC5 最小系统原理本设计的电路实现是基于FPGA最小系统原理图,再配以所需的外设。最小系统设计包含了时钟产生电路模块、电源电路模块,通过连线将各个模块进行连接成最小系统。由于本设计电路比较简单,外设比较少,使用到的数码管电路,模拟实现交通信号时间输出,8个LED灯模拟实现信号状态指示灯的亮灭情况。我们队外设也作了扩展准备,将FPGA芯片的IO引脚

31、进行了插针引出,以方便后续电路的扩展。将外设与最小系统进行合理正确连接,即可实现本设计的电路原理要求。 图5.1.1 系统原理图6 软件仿真与调试6.1源代码及说明/* 信号定义与说明:CLK:同步时钟;EN:使能信号,为1的话,则控制器开始工作;LAMPA:控制A方向四盏灯的亮灭;其中,LAMPA0LAMPA3,分别控制A方向的左拐灯、绿灯、黄灯和红灯;LAMPB:控制B方向四盏灯的亮灭;其中,LAMPB0 LAMPB3,分别控制B方向的左拐灯、绿灯、黄灯和红灯;ACOUNT:用于A方向灯的时间显示,8位,可驱动两个数码管;BCOUNT:用于B方向灯的时间显示,8位,可驱动两个数码管。 */

32、module traffic(CLK,EN,LAMPA,LAMPB,ACOUNT,BCOUNT);input CLK,EN; output3:0 LAMPA,LAMPB; output7:0 ACOUNT,BCOUNT;reg tempa,tempb; reg2:0 counta,countb; reg3:0 LAMPA,LAMPB;reg7:0 ared,ayellow,agreen,aleft,bred,byellow,bgreen,bleft;reg7:0 numa,numb;always (EN) if(!EN) begin /设置各种灯的计数器的预置数ared=8d55;ayello

33、w=8d5;agreen=8d40;aleft=8d15;bred=8d65;byellow=8d5;bleft=8d15;bgreen=8d30; endassign ACOUNT=numa;assign BCOUNT=numb;always (posedge CLK) /该进程控制A方向的四种灯 begin if(EN)begin if(!tempa) begin tempa=1;case(counta) /控制亮灯的顺序0: begin numa=agreen;LAMPA=2; counta=1; end1: begin numa=ayellow;LAMPA=4; counta=2; e

34、nd2: begin numa=aleft;LAMPA=1; counta=3; end3: begin numa=ayellow;LAMPA=4; counta=4; end4: begin numa=ared;LAMPA=8; counta=0; enddefault:LAMPA1)if(numa3:0=0)begin numa3:0=4b1001;numa7:4=numa7:4-1;endelse numa3:0=numa3:0-1;if(numa=2) tempa=0;endendelse begin LAMPA=4b1000;counta=0;tempa=0;end endalway

35、s (posedge CLK) /该进程控制B方向的四种灯 begin if (EN) begin if(!tempb) begin tempb=1; case (countb) /控制亮灯的顺序0: begin numb=bred;LAMPB=8; countb=1; end1: begin numb=bgreen;LAMPB=2; countb=2; end2: begin numb=byellow;LAMPB=4; countb=3; end3: begin numb=bleft;LAMPB=1; countb=4; end4: begin numb=byellow;LAMPB=4; c

36、ountb=0; enddefault:LAMPB1) if(!numb3:0)begin numb3:0=9;numb7:4=numb7:4-1;endelse numb3:0=numb3:0-1;if(numb=2) tempb=0; end end else begin LAMPB=4b1000;tempb=0;countb=0;end endendmodule6.2 仿真结果通过QuartusII软件,我们进行了仿真,其仿真波形如下图: 图6.2 波形仿真图在QuartusII软件中利用硬件描述语言描述电路后,用RTL Viewers生成的对应的顶层模块连接图如下所示:6.3 调试在Q

37、uartusII软件中,通过对所设计的硬件描述语言代码进行波形仿真后,达到了预期效果,于是,我们在该软件上进行下载配置设置。在Assignments菜单下选中Devices,在Family栏选择ACEX1K,选中EPF10K10LC84-4器件。再在Assignments菜单下选中Pins按照相应要求对管脚进行锁定。最后在Tools菜单下,选中Programmer,对配置方式进行设置,这里选择Passive Seril(PS)被动串行模式。选择好要下载的硬件设备后点击Start即可开始编程下载了。调试过程为在线调试。在通过调试中,我们发现了很多问题.在软件上能实现仿真的程序不一定在硬件电路上就

38、能运行,原因有很多,这里是由于电路中的时钟频率太快,若不增加一个分频电路,灯闪烁时间太快,肉眼无法观察,故设计了一个20MHZ到2HZ的分频电路。调试中的实际问题需要考虑,人同时按多个键的同步性,不能达到时钟的精度,比如模拟键盘的输入状态是高电平有效,由于分频的运算很大,故增加分频电路后,在QuartusII软件中则不能进行正确的仿真,可以直接将程序下载到电路板上去调试。7 总结7.1设计收获两周的课程设计结束了,通过这次设计,我的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索图书馆查阅资料等方式认识到了自己知识的局限性。我学到许多知识,也认识到理论联系实践的重要。在设计当中遇到了

39、许多以前没遇到的困难。学会了利用许多的方法去解决所遇到的问题。编好程序后,虽然总是出错,比如说状态不能改变,绿灯不能按时闪烁等,但是经过多次研究在老师和同学的帮助下终于找到问题所在并纠正。这次设计,让我感受最深是:在仿真的阶段遇到很多的问题,我们一定要具备一定的检查、排除错误的能力。我深刻认识到了“理论联系实际”的这句话的重要性与真实性。而且通过对此课程的设计,我不但知道了以前不知道的理论知识,而且也巩固了以前知道的知识。在本次设计中,我们完成本系统设计的要求及功能。在设计开始前我们对各个模块进行了详细的分析和设计准备工作,设计过程中,我们相互协调,积极参与完成各个技术实现的难点。7.2 设计

40、改进由于时间仓促和我们自身知识水平有限,本设计在功能上也只是完成了一些基本功能,对于电路的可靠性,稳定性等参数也还未做过详细的测试。在交通的人性化控制方面也还未做周全的考虑,若在行驶过程中出现交通信号灯损坏或是电源断电的情况,则应有紧急状态灯来控制交通的管理,好提醒司机们或是行人注意保持车距,避免交通事故的发生。当出现交通事故或有紧急状况时,应启动紧急状态,比如增设一个控制信号使其出发交通灯东西南北四个方向红灯同时点亮,从而避免连环的交通事故发生。对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次设计必将成为我人生旅途上一个非常美好的回忆!8 致谢此次设计,

41、使我受益匪浅。老师严谨的治学态度和踏实的工作作风使我受益终身,在阳老师和李老师的辛勤指导下,我们小组同学积极讨论和思考,完成本此课程设计,在此我还要感谢电气信息学院提供这次课程设计的机会。此外我要特别感谢阳小明老师,在我们的设计过程中,至始至终的悉心指导,我们的设计才得以顺利完成。两周的时间过去了,阳老师、李老师悉心的指导和关怀让同学们依旧铭感于心,我在这里对阳老师和李老师以及帮助我学习的同学们表示深深的感谢!9 参考文献1 谢自美. 电子线路设计(第二版)M. 华中科技大学出版社. 20002 卢毅编著.VHDL与数字电路设计M.北京.科技大学出版.20013 侯佰亨,顾新编著.VHDL硬件描述语言与实际应用M.西安电子科社.20004 康华光 陈大钦. 电子技术基础模拟部分(第四版)M. 高等教育出版社.19875(美)J.Bhasker . Verilog HDL 硬件描述语言M . 机械工业出版社.20006 周明德. 微型计算机系统原理及应用(第四版) M. 清华大学出版社. 2002 7 张洪润等. 电子线路及应用. 北京.科学出版社. 2002 8 杨宝清. 实用电路手册. 北京. 机械工业出版社. 2002 附录一:电路原理图26

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!