1101序列检测器

上传人:zou****hua 文档编号:164624956 上传时间:2022-10-25 格式:DOCX 页数:5 大小:39.91KB
收藏 版权申诉 举报 下载
1101序列检测器_第1页
第1页 / 共5页
1101序列检测器_第2页
第2页 / 共5页
1101序列检测器_第3页
第3页 / 共5页
资源描述:

《1101序列检测器》由会员分享,可在线阅读,更多相关《1101序列检测器(5页珍藏版)》请在装配图网上搜索。

1、1101 序列检测器实验报告实验名称1101序列检测器设计实验时间实验地点实验人姓名合作者学号实验小组第组实验性质验证性 设计性综合性口应用性实验成绩:评阅教师签名:Moore 型/*1101序列检测器代码*module moorell01(reset ,clk ,date_in ,date_out);input reset,clk,date_in;output date_out;reg2:0 state;parameter st0 = 3b000, stl = 3b001,st2 = 3bO1O,st3 = 3b011,st4 = 3b100;always(negedge clk or po

2、sedge reset)beginif(reset = 1)state = st0;endalways(negedge clk)begincase(state)stO:state = date_in?st1:st0;st1:state = date_in?st2:st0;st2:state = date_in?st2:st3;st3:state = date_in?st4:st0;st4:endcasestate = date_in?st2:st0;endassign date_out = state2;endmodule/* 测试程序 */timescale lns/100psmodule

3、selec_td2;reg clk1,reset1,datein;wire dateout;moore1101 u2(.clk(clk1),.reset(reset1),.date_in(datein),.date_out(dateout);initial beginclk1 = 0;reset1 = 0;#5 reset1 = 1;endalways fork#10 clk1 = clk1;#10 datein = $random;joinEndmodule/* 仿真波形 */U 口mwe - uETauicIMsga(* idec_td2/dklJ fadecJiiSeEetLJ dec_

4、td2/dflitcin 鼻 阳日定匚11即dEitEDUtnL .LTLTLJnrrnLTLTUnrrnLtltunrrnLTLTUrLrmLtlturLTLriLnru1FllHLrrnLndLr_nhr._rdrCJi ilFhi-lJLtltuLruMealy 型/*1101序列检测器代码*module mealy1101(reset ,clk ,date_in ,date_out); input reset,clk,date_in;output date_out;regl:O state;parameter stO = 2b00,stl = 2b01,st2 = 2bl0,st3 =

5、 2bll; always(negedge elk or posedge reset)beginif(reset = 1)state = st0;endalways(negedge clk)begin case(state)st0: state = date_in?st1:st0;stl: state = date_in?st2:st0;st2: state = date_in?st2:st3;st3: state = date_in?st1:st0;/ st4: state = date_in?st2:st0; endcaseendassign date_out = (date_in & state0 & state1);endmoduletimescale lns/lOOpsmodule selee_td1;reg elk1,reset1,datein;wire dateout;mealyllOl u1(.clk(clk1),.reset(reset1),.date_in(datein),.date_out(dateout);initial beginclkl = 0;resetl = 0;#5 resetl = 1;endalways fork

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!