课程设计---4位二进制全加器全减器

上传人:仙*** 文档编号:126351250 上传时间:2022-07-28 格式:DOC 页数:7 大小:136KB
收藏 版权申诉 举报 下载
课程设计---4位二进制全加器全减器_第1页
第1页 / 共7页
课程设计---4位二进制全加器全减器_第2页
第2页 / 共7页
课程设计---4位二进制全加器全减器_第3页
第3页 / 共7页
资源描述:

《课程设计---4位二进制全加器全减器》由会员分享,可在线阅读,更多相关《课程设计---4位二进制全加器全减器(7页珍藏版)》请在装配图网上搜索。

1、精品文档,仅供学习与交流,如有侵权请联系网站删除 组合逻辑电路课程设计之4位二进制全加器/全减器作者:姓名:周志敏 学号:2907301001 姓名:王光甫 学号:2907301007 姓名:沈俊楷 学号:2907301004课程设计题目要求:使用74LS283构成4位二进制全加全减器。具体要求:1)列出真值表;2)画出逻辑图;3)用Verilog HDL进行仿真。摘要加法器是数字系统中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面问题。多为加法器的构成有两种方

2、式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运行速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行加法器的资源占用差距也会越来越大。本文将采用4位二进制并行加法器作为折中选择,所选加法器为74LS283,74LS283是4位二进制先行进位加法器,它只用了几级逻辑来形成和及进位输出,由其构成4位二进制全加器;而四位的全减器可以用加法器简单的改造而来。采用Verilog HDL对四位的全加器-全减器进行仿真。关键字74LS283,全加器,并行进位,串行进位,全减器,Verilog HDL仿真总电路设计一、硬件电路的设计该4位二进制全加器以74LS283(图

3、1)为核心,采用先行进位方式,极大地提高了电路运行速度,下面是对4位全加器电路设计的具体分析。 图11)全加器全加器是针对多于一位的操作数相加,必须提供位与位之间的进位而设计的一种加法器,具有广泛而重要的应用。其除有加数位X和Y,还有来自低位的进位输入CIN,和输出S(全加和)与COUT(送给高位的进位),满足下面等式:其中,如果输入有奇数个1,则S为1;如果输入有2个或2个以上的1,则COUT为1。实现全加器等式的电路如图3所示,逻辑符号见下图2 图32) 四位二进制加法器 a) 串行进位加法器四位二进制加法器可以采用4个一位全加器及连成串行进位加法器,其实现框图如下输入:Input: A3

4、A2A1A0 加数输入 B3B2B1B0加数输入 C0 进位输入(CIN)输出:Output S3S2S1S0 和数输出 C4 进位输出 (COUT)b)超前位链结构加法器 令 产生进位 产生传输信号四位全加器的进位链逻辑可以表示为如下:根据上面对加法器的具体分析,下面给出的是4位二进制全加器的部分真值表:A3A2A1A0B3B2B1B0CINS3S2S1S0COUT0000000000000000000000100010000100010001000010000100011000110001101010001100100010100100000010101000100010001000011

5、100001100000101000010110010001000000001100010001000111001001101100010010100011010100001100111001000011011111010101001000111101010011010011000100100001110001001100101.下面是74LS283四位二进制全加器的逻辑电路图:以上部分是对4位二进制全加器电路硬件的详细设计。3) 全减器 全减器有两种构造方法方法一:全减器处理二进制算法的一位,其输入位为X(被减数),Y(减数)和BIN(借位输入),其输出位为D(差)和BOUT(借位输入)。根

6、据二进制减法表,可以写出如下等式:D=XYBINBOUT=X* Y + X* BIN + BIN这些等式非常类似于全加器中的等式,应该不足为奇。所以我们可以按照全加器的构成思路来构造全减器。方法二:根据二进制补码的减法运算,X-Y可以通过加法操作来完成,也就是说,可以通过把Y的二进制补码加到X上来完成。Y的二进制补码等于Y+1,其中Y等于Y的各个位取法。所以X-Y=X +(-Y)= X +(Y+1)即全减器可以通过全加器来实现。B4B3B2B1CINSELECT我们将74LS283的B口的四个输入作如上图的改动,添加了一个选择端select,通过他来控制是做加法运算还是减法运算。做减法运算Se

7、lect=1时各个与非门的输出与输入相反,达到了去反的目的,此时cin=1,从而实现了减法功能。做加法运算Select=0时各个与非门的输出与输入相同,达到了保持不变目的,此时cin=外部输入,从而实现了加法功能。全减器的真值表(利用74ls283构成)A3A2A1A0B3B2B1B0CINS3S2S1S0COUT0000000000000000000000100010000100010001000010000100011000110001101010001100100010100100000010101000100010001000011100001100000101000010110010

8、001000000001100010001000111001001101100010010100011010100001100111001000011011111010101001000111101010011010011000100100001110001001100101.所以总的逻辑电路图如下SUM_410SUM_313SUM_14SUM_21C49B411A412B315A314B22A23B16A15C07137B4B3B2B1a2a1a374LS28324CINSELECT总的真值表:即将全加器真值表和全减器真值表合成而得,此处省略。二、 软件程序的设计本设计采用Verilog H

9、DL语言的所设计的4位二进制全加器进行仿真,下面是具体的Verilog HDL程序:第一步:建一VHD程序,半加器的。hadd_v.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hadd_v is port(a,b: in std_logic; s,c: out std_logic); end hadd_v; architecture a of hadd_v is signal temp: std_logic_vector(1 downto 0); begin tem

10、p=(0&A)+B; s=temp(0); c=temp(1); end a;编译通过 第二步:建一VHD程序,全加器。fadd_v.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fadd_v is port(a,b,ci :in std_logic; s,co :out std_logic); end fadd_v; architecture a of fadd_v is signal temp :std_logic_vector(1 downto 0); begi

11、n temp=(0&a)+b+ci; s=temp(0); coA(0),b=B(0),s=S(0),c=N1); h1: fadd_v port map (a=A(1),b=B(1),ci=N1,s=S(1),co=N2); h2: fadd_v port map (a=A(2),b=B(2),ci=N2,s=S(2),co=N3); h3: fadd_v port map (a=A(3),b=B(3),ci=N3,s=S(3),co=cout);end x;结束。结语通过我们小组成员的共同努力,完成了由74LS283构成的4位二进制全加器的电路硬件设计和Verilog HDL软件仿真,最终我们的设计成果较好地完成了设计要求,同时我们也在本次设计过程中进一步掌握了组合逻辑电路的相关知识以及进一步熟悉Verilog HDL 语言的应用。【精品文档】第 7 页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!