基于fpga的led彩屏控制课程设计报告书

上传人:沈*** 文档编号:101262179 上传时间:2022-06-04 格式:DOC 页数:21 大小:216KB
收藏 版权申诉 举报 下载
基于fpga的led彩屏控制课程设计报告书_第1页
第1页 / 共21页
基于fpga的led彩屏控制课程设计报告书_第2页
第2页 / 共21页
基于fpga的led彩屏控制课程设计报告书_第3页
第3页 / 共21页
资源描述:

《基于fpga的led彩屏控制课程设计报告书》由会员分享,可在线阅读,更多相关《基于fpga的led彩屏控制课程设计报告书(21页珍藏版)》请在装配图网上搜索。

1、 综合课程设计 题目中文 彩色LED控制器的设计 姓 名 秋香学 号 2011180324 院 系 工学院 电子系专业、年级 2011级 应用电子技术教育 指导教师 兰浩 二一五年 一 月摘 要LED 显示屏是由发光二极管点阵模块组成的大屏幕显示系统,可以动态显示文字、图像和视频等信息,其使用寿命长,可靠性高,功耗低,亮度高,色彩丰富,已在工业、交通、商业广告、信息发布、体育比赛等领域得到了广泛的应用。本文的研究对象为全彩色 LED 点阵显示屏控制器,提出了一个基于 FPGA 的软件和硬件结合的控制器实现方案。该控制器主要包括以太网接口模块、FPGA 控制模块、DDR 缓存模块和 LED 驱动

2、电路模块。上位机软件将信息源文字、图像或视频载入后将其通过网线发送到与其IP地址匹配的控制器。控制器上的以太网芯片将数据以帧形式传送到FPGA控制模块,该模块对接收的数据帧进行解码,并对图像数据进行反校正与位分离重组。DDR SDRAM 的作用是为 FPGA 缓存数据。最终,待显示数据和控制信号被送到LED驱动电路,驱动 LED 屏进行显示。FPGA控制模块的设计是LED显示屏控制器设计的核心容,其功能模块有:灰度级产生模块、DDR读写控制模块、扫描与驱动控制模块和时钟模块。灰度级产生模块用于产生 256 级灰度。DDR读写控制模块包括读地址产生模块、写地址产生模块和读写控制模块。读地址产生模

3、块按照颜色和权重来产生读地址,写地址产生模块按照颜色数据来产生灰度数据的存储目的地址,读写控制模块控制 DDR 存储器的读写操作。LED 扫描与驱动控制模块产生驱动控制信号并将图像数据送到驱动电路。时钟模块为相关模块产生所需的时钟信号。本文对全彩色 LED 点阵显示屏控制器的总体设计方案以及各模块的硬/软件设计进行了详细阐述,测试结果表明,该设计实现灵活,效果较好。关键词:LED 显示屏,全彩色,FPGA,DDR读写,扫描目录摘要2目录3 第 1 章 绪论41.1 LED 显示屏系统概述41.1.1 LED 显示屏发展回顾41.2 FPGA 及开发工具介绍61.3 课题研究的目的、意义及主要研

4、究容8第 2 章 LED 器件基本原理及相关知识介绍92.1 发光二级管特性92.2 LED 器件的驱动方式102.3 LED 显示板的信号接口14 第 3 章 LED 点阵显示屏控制器的软件设计16第 4章 总结与展望19参考文献20 绪论1.1 LED 显示屏系统概述LED 显示屏由若干个发光二极管点阵模块所组成的显示屏幕,相对其它显示媒介,它的使用寿命更长、可靠性更高、环境适应能力更强、性价比更高,是现代社会中主流的平板显示产品,广泛应用于图像和视频显示领域1。 LED 显示屏主要有两种:图文显示屏和视频显示屏。图文显示屏显示的信息主要以汉字、英文文本和简单图形为主;而视频显示屏采用了微

5、型计算机进行控制,能够对各种播放信息,如文字、图像、视频进行实时、同步、清晰的播放。视频显示屏还能显示二维、三维动画、录相、电视节目及一些色彩鲜艳的画面等,广泛应用在商场、宾馆、银行、建筑市场等公共场所2。1.1.1 LED 显示屏发展回顾 根据 LED 显示屏的发展状况可以将 LED 显示屏的发展过程分成三个阶段:发展初期、快速发展时期和稳步发展时期。LED 显示屏的发展初期是在 1990 年以前。那个时期,LED 显示屏所用的材料受到限制,使得 LED 显示屏没能得到广泛的应用,LED 显示屏主要靠通讯控制方式来控制,在客观上影响了显示效果3。虽然 LED 显示屏在其它国家应用较广,但在国

6、应用的却很少,产品主要以双基色红和绿为主,灰度等级只能做到单点 4级,具有较高的产品成本。 1990 到 1995 年期间,这是 LED 显示屏的快速发展时期。LED 显示屏不管是在制造材料方面还是控制技术方面都取得了突破性的发展,视频控制技术也随之诞生,灰度等级通常为 16 级和 64 级。 LED 显示屏的稳步发展时期为 1995 年以后,出现了双基色灰度级为 256 的视频显示屏,之后又出现了由红、绿、蓝三原色 LED 所生产的全彩色 LED 显示屏,它的颜色非常丰富,图像清晰,画面逼真,这使得 LED 显示屏的应用领域越来越为广泛。1.1.2 LED 显示屏的分类 LED 显示屏按显示

7、颜色可分为三类: 1单色显示屏系统 该系统是指 LED 点阵只显示一种颜色,LED 器件只有亮和灭两种状态,不存在灰度控制,故不能控制亮度。这种显示屏通常用于显示纯文字信息。 2双基色显示屏系统 该系统显示红绿两种颜色,能够进行灰度控制,画面显示较生动。 3全彩色显示屏系统 该系统是由红、绿、蓝三种颜色的 LED 点阵组成,它的显示颜色非常丰富,画面生动而逼真,显示屏能接收视频信号并且可以显示出全彩色的运动图像45。按照控制方式,可把显示屏分为两类: 1异步显示屏系统 异步显示屏系统是指 LED 显示屏自身就可以存储图像数据并且能对该图像进行自动播放。首先在计算机上编辑好文字或图片信息,通过计

8、算机将数据和命令经过串口发送到控制器的存储器中,然后通过对预先存放在控制器里的各种数据信息的调用,把接收到的指令转化为显示屏所需要的点阵数据,最后在显示屏上进行显示。异步显示屏系统主要用于显示文字和简单的图形信息,可以多屏联网6。2同步显示屏系统 同步显示屏系统是指将计算机屏幕上的容通过控制器实时地映射在 LED 屏上,该系统主要是将显卡中的数据提取出来,然后经过控制器作一系列的处理以后送到 LED 显示屏显示78。从显示驱动方式看,可将显示屏分为: 1静态显示方式 每一帧图像中的每一个二极管的状态用 0 与 1 来表示,若 LED 中没有电流通过则为暗状态,此时为 0;若有电流通过,二极管被

9、点亮,此时为 1。静态显示方式是指所有的二极管都分别用一个驱动电路来驱动,当输入一幅画面的图像数据,所有的 LED 都同时对其进行显示,一直维持到下一幅图像的到来910。 2动态显示方式 将一幅画面分成几部分,然后对各个部分分时来显示,这称为动态显示方式。该方法的原理是利用人眼对物体具有视觉延迟这一事实来达到所有 LED 同时显示的效果。动态显示时,在每一时刻只有一组 LED 在显示,即点亮状态,而其它 LED组都为熄灭状态。与动态显示相比,采用静态显示方式需要增加译码驱动装置的数量,且随着屏示屏的尺寸加大与分辨率的提高,所需的译码驱动装置将更多。这必将导致引线增加且电路更复杂,不仅会增加设计

10、成本,而且会降低可靠性。因此,当 LED 数量比较少时可以选择使用静态显示方式,而当 LED 数量较多时则应使用动态显示方式,以避免以上问题111217。 采用动态显示时,如果没有合理的设计方法,易造成亮度低与闪烁等后果。因此,设计时既要保证驱动电路容易实现,又要保证图像的稳定、无闪烁131418。 本文的设计采用了行扫描、列控制的动态显示方式。1.1.3 LED 显示屏的发展趋势 自从上世纪 90 年代以来,我国 LED 显示屏的产品工艺及设计技术都在稳步地发展自从高亮度、全彩化蓝色及纯绿色 LED 产品出现以来,LED 显示屏的基础材料产业化,LED 显示屏的制造成本也随之降低,这使 LE

11、D 显示屏的应用领域更为广泛1516。 随着 LED 产品各项技术指标的提高,其亮度、色彩、白平衡也呈现了比较完美的效果,完全可以满足户外全天候环境条件的要求,加上 LED 彩色显示屏性价比高这样的特点,传统户外所用的灯箱、霓红灯、磁翻板等产品会被逐渐被 LED彩色显示屏所取代1920。 在交通路口、繁华商业街等,都能看到用来做广告载体LED 显示屏,轮流播放着商业广告和部分公益广告,广告形式层出不穷,变化多端,容极其丰富。如今各省市体育场馆也大量采用了 LED 彩色的显示产品,在四十三届世乒赛主场地XX体育中心就采用了国产的 LED 显示屏,随后一些其它的国重要体育场馆如体育中心、体育场等都

12、采用了 LED 显示屏作为信息发布的主要途径。 LED 显示屏产品正在走向规化、标准化。我国 LED 显示屏产业通过十余年的发展,已经形成了一批具有较大规模且具有核心设计技术的企业,它们已经在开始实施 ISO9001 质量标准体系。有了行业规和质量体系就意味着能够使用统一的判定标准对产品进行检测。如果生产条件不好、技术性不强的企业将受到淘汰,在以后的几年通过淘汰一些小规模 LED 显示屏生产厂商,LED 显示屏行业的发展将趋于有序。 据统计,2010 年中国 LED 芯片行业总产值达 1500 亿元人民币,同比增长100%。特别在体育、户外广告、交通等诸多领域的市场将有进一步的增加。专家预测认

13、为:在国,全彩色 LED 显示屏的市场需求量的增长率将超过 50%。 我国全彩色 LED 显示屏的技术水平相对来说还是比较先进的,主要的 LED 平板显示产品的技术水平与国际同行业的先进水平相差不大,但在 LED 显示屏的制造工艺以及 LED 屏的检测方面与其它国家还存在一定差距21。1.2 FPGA 及开发工具介绍1.2.1 FPGA 芯片介绍 FPGA 又叫现场可编程门阵列,它采用的是一种类似掩膜可编辑门阵列的结构,它是一种可编程的器件。 FPGA 器件的部结构由三部分组成:可编程逻辑块 CLB、可编程部连线 PI和可编程 I/O 模块 IOB。 目前在市场上销售的 FPGA 芯片大部分来

14、自两家公司:Altera 公司和 Xilinx公司,这两家公司的 FPGA 芯片占据了市场份额的 80%以上,其他的 FPGA 厂家产品主要是针对某些特定的应用22。比如,Actel 公司主要生产反熔丝结构的FPGA,以满足某些应用条件极为苛刻的航空、航天领域产品23。1.2.2 硬件描述语言一、硬件描述语言概述 硬件描述语言是一种可以用来描述硬件电路结构、信号连接关系和定时关系的语言,其中最有代表性的硬件描述语言就是美国国防部开发的 VHDL语言和 GatewayDesign Automation 公司开发的 Verilog HDL 语言24。与传统的基于电路原理图的设计方法相比,硬件描述语

15、言具有许多优点: 1设计者能够非常抽象地描述硬件电路。 2设计者可以在设计周期的早期对电路进行修改并验证,这样有利于在早期发现错误以避免反复的设计工作。 3硬件描述语言编程通常带有注释,在编程序时可以很方便的修改。但基于电路原理图的设计在修改上就不如硬件描述语言编程方便。 二、Verilog HDL 和 VHDL1Verilog HDL 概述 Verilog HDL 语言最初是 1983 年由 Gateway Design Automation 公司为其模拟器产品开发的硬件建模语言。它适用于系统级、算法级alogrithem、寄存器传输级RTL逻辑级logic、门级gate、电路开关级swit

16、ch设计建模。用 Verilog HDL 不仅可以设计一些简单的门电路,还可以设计一个复杂的数字电子系统。它继承了 C 语言的很多语法结构,非常容易学习和掌握。采用 VerilogHDL 描述数字系统,它可以对其按层次地进行描述,并能显式地进行时序建模。目前,Verilog HDL 已经使用的非常广泛。2VHDL 概述 VHDLVery-High-Speed Integrated Circuit Hardware Description Language于1982 年诞生,它是由美国国防部所开发的硬件描述语言25。IEEE 于 1987 年底将VHDL 确认为标准硬件描述语言。自从 VHDL

17、的标准版本IEEE1076被 IEEE公布之后,一些 EDA 设计公司就推出了自己的 VHDL 设计环境26。此后,VHDL便得到了广泛的接受,并逐渐代替了原来非标准的 HDL,VHDL 主要用于描述数字系统的结构、行为、功能和接口。 3Verilog HDL 和 VHDL 的比较 Verilog HDL 和 VHDL 都可以进行逻辑设计,并且作为一种 IEEE 标准都己标准化。它们的区别在于:与 Verilog HDL 相比,VHDL 语言有着更强的高层建模和抽象能力;VHDL 可以使用库、程序包、配置语句、生成语句、类属语句来管理大型设计结构,但 Verilog 没有管理大型设计结构的语句

18、;VHDL 语法规、规则复杂、代码要求非常严格,但 Verilog 设计灵活,与 C 类似,入门比较容易。1.2.3 开发工具 Quartus II 是一款由 Altera 公司推出的 FPGA 开发工具,它提供的设计环境与结构无关,设计者可以使用 Quartus II 软件完成设计流程的所有阶段,它是一个全面易用的独立解决方案。Quartus II 设计软件有着完整的多平台设计环境,可能方便地完成特定的设计,并且它提供了全面的可编程芯片系统SOPC的设计环境27。 在使用 Quartus II 设计时,设计者不需要非常精通器件部的复杂结构,只需要会使用自己熟悉的设计工具,如采用原理图设计或采

19、用硬件描述语言 VerilogHDL 或 VHDL 进行设计就可,然后利用 Quartus II 将这些设计进行转换,转换成最终结构所需的格式28。因为设计者可以 Quartus II 的设计环境中查询到很多有关结构的详细知识,所以通过查询可以加快设计速度。设计人员可以很快地完成电路的输入、编译、优化、仿真,直到最后芯片的流片过程。 Quartus II 设计软件有着先进的功能,使用它可以提高数字系统的性能,能方便地处理潜在的设计延时,并能在布局布线之后对设计作出方便地改善。Quartus II设计软件不仅包含了现场可编程门阵列的解决方案,还包含了复杂可编程逻辑器件设计各阶段的解决方案。1.3

20、 课题研究的目的、意义及主要研究容1.3.1 课题研究目的、意义 由于 LED 显示屏有着亮度高、画面清晰、色彩鲜艳等优点,与其它平板显示产品如:背投电视、等离子、液晶、电视墙等相比,它有着明显的优势。LED 显示屏在国外市场上已被广泛应用,市场前景巨大。视频信号处理技术的发展带动了 LED 彩色显示屏相关显示技术的发展,LED 显示效果正在不断地提高,这促使了 LED 彩色显示屏成为人们关注的一个焦点29。 过去 LED 显示屏控制电路设计通常采用单片机来实现,单片机作为主控制器用来控制和协调 LED 显示屏的整个显示系统的显示,但由于单片机的驱动频率有限,无法驱动高分辨率的 LED 显示屏

21、,特别是对于高灰度级的彩色 LED 显示屏,数据送到显示屏之前要进行灰度调制,进而实现图像的彩色显示,这样对数据的处理速度要求很高,用单片机控制在速度上无法满足其要求30。 由于视频图像信号频率高、数据量巨大,加之 LED 大屏幕电路的数字逻辑相当复杂,目前也有方案采用 FPGA 来设计控制电路,设计方案通常分为两个部分:视频信号的获取和视频信号的处理31。 首先,视频信号通过解码芯片从显卡的 DVI接口解码获得,然后采用 FPGA 对所获得的视频信号进行相关处理,最后输出数据给扫描驱动电路进行 LED 显示。这种方法与单片机控制电路相比,电路结构更为简洁,可靠性更高,调试也更为简单。 本课题

22、所设计的是基于 FPGA 的彩色 LED 点阵显示屏控制器,该控制器在现有 LED 显示屏控制器设计技术上有所创新,可实现 256 级灰度的全彩色显示,这使该控制器在 LED显示屏市场上有一定的优势及实用性。该控制器还有:性价比高、显示面积大、性能稳定、刷新率高等特点。1.3.2 论文研究容 本课题研究了全彩色 LED 显示屏的工作原理,设计了一个基于 FPGA 的彩色LED 点阵显示屏控制器,该控制器以上位机软件播放器中的图片和视频为数据源,在 LED 显示屏上对播放器中的容进行实时映射。本课题设计的主要工作如下: 1设计了 FPGA 控制模块,完成以太网交换控制器的 GMII 接口与 FP

23、GA 之间的数据通信,并实现数据存储控制、数据处理、扫描控制等功能。 2完成5种以上的彩色LED屏置刷屏程序。 3实现彩色LED屏控制器的控制时序分析,实现同步视频信号的数据显示。LED 器件基本原理及相关知识2.1 发光二级管特性 发光二极管,英文全称是 Light Emitting Diode,简称 LED,是一种能将电能转化成光能的器件,当有正向电流通过时,会产生发光现象32,如图 2-1 所示。 图 2-1 发光二极管 用于描述 LED 特性的参数有许多,这些参数之间为非线性关系。因此,可用特性曲线来描述这种关系。下面就针对其主要的特性曲线作简单的介绍:发光强度 I 与正向电流 If

24、的关系曲线图 2-2 LED 发光强度与正向电流的关系 图 2-2 LED 发光强度与正向电流的关系图 2-2 中描述了由三种不同半导体材料制成的黄、绿、红 LED 器件的 Iv和 If的关系曲线,这三种材料分别是:A-GaAsP、B-GaPN和 C-GaP。从图中可知看,Iv是随着 If的增加而增加的,但变化的规律有所不同。LED 器件的伏安特性 流过 LED 器件的电流和加在 LED 上的电压之间的关系称为伏安特性,如图2-3 所示图中描述了 A、B 两个器件的正向伏安特性曲线,LED 器件与普通二极管在伏安特性上基本上相似,略微不同的是 LED 器件开始导通的正向电压较大,大概在 1.6

25、V3.0V 之间,这是由不同的半导体材料来决定的33。 图 2-3 发光二极管正向伏安特性2.2 LED 器件的驱动方式 从 LED 器件的发光原理可知,当正向电压加在 LED 的两端时,通过器件的电流会使其发光。所以使得 LED 的 PN 结处于正向偏置状态就能实现 LED 的驱动,同时可以通过调节通过它的正向电流的大小来达到调节其发光强度的目的。通常驱动 LED 器件有四种方式:直流驱动、恒流驱动、脉冲驱动和扫描驱动34。直流驱动 直流驱动在实现上很容易,将电源电压 VCC、电阻 R 与 LED 器件三者串联组成回路,由电阻 R 与 LED 器件的伏安特性一起决定 LED 的工作点,这种驱

26、动方式适用于 LED 器件较少且发光强度恒定的情况下35。公交车上的显示公交路线字样的显示器就是采用这种方法。恒流驱动 LED 器件的正向特性较陡,加上器件存在一定的分散性,即使加在不同 LED上的电源电压和限流电阻相同,流过这些 LED 的正向电流也存在差异,这便引起了发光强度的不同。用恒流来驱动 LED 器件时,相同的恒流值将产生相同的发光强度36。由于晶体管的恒流输出特性,因此可用其驱动 LED,如图 2-4 。 图 2-4 用晶体管对 LED 器件恒流驱动脉冲驱动脉冲驱动是指采用重复通电断电的方法使 LED 器件点亮。脉冲驱动的方法主要有以下两种:扫描驱动和占空比驱动。扫描驱动能够减少

27、驱动电路,使整个电路不会过于复杂。但在应用扫描驱动方式时需要注意以下两点:确定好脉冲对应的电流幅值和选择好重复扫描频率。如果要与直流驱动方式获得同样的发光强度,那么脉冲驱动电流的平均值 Ia就要等于直流驱动的电流值。如图 2-5 所示,如果要计算平均电流 Ia,则需对瞬时电流 i 在时间上积分,对于图中的矩形波来说,则有以下表达式 公式2-1 公式2-2公式2-2中的 ton/T 其实就是占空比,如果要平均电流 Ia等于直流驱动电流IF,则脉冲电流幅值就要满足公式2-3。 公式2-3图 2-5 脉冲驱动 由此可知在使用脉冲驱动方式时,脉冲电流的幅值 IF必须是直流驱动电流 Io的 T/ton倍

28、。 对于选择脉冲重复频率,由于视觉暂留特性要求脉冲重复频率必须高于 24Hz,这样才不会产生闪烁现象。 除了扫描驱动外,脉冲驱动还可以采用占空比驱动的方式,现以灰度等级为256,简单介绍一下如何利用脉冲占空比方式来驱动 LED。 把显示时间分成 256 个等分,如图 2-6 所示。高电平是指 LED 被点亮,这就形成了 255 个不同宽度的脉冲,它对应着 LED 的 256 个亮度阶梯即 256个灰度等级37。 在一个扫描周期,占空比为 1 时指的是完全点亮即对应 256 级灰度;完全熄灭时,此时占空比为 0,对应于 0 级灰度。不同的灰度级使得 LED点亮的时间也不相同,而且灰度级会随对应的

29、点亮时间增长而增加,平均亮度则越大。 图 2-6 不同占空比与 256 级灰度的对应关系 占空比控制可分为消隐电平控制方式和非消隐电平控制方式,下面来具体分析两种方式的可行性,若灰度数据位深 G 为 10 位,帧扫描频率 VF 为 60Hz,显示屏列数 M 为 256,每个扫描块行数 H 为 16。非消隐电平控制方式是指在显示屏的扫描周期二极管一直都处于工作状态,此种方式控制的 LED 显示屏发光效率最高接近 100%。LED 显示屏的灰度数据是按位进行扫描的,每次传输扫描的仅仅是十比特数据中的一比特,并且每位数据都具有不同的占空比。LED 显示屏每一行的灰度数据的 D0 至 D9 比特代表的

30、显示时间分别为 t、2t、4t、 8t、16t、32t、64t、128t、256t、512t,其中 t=Hc/1023Hc 为平均行扫描周期,当 10bits 数据全部扫描显示完成时,就实现了非消隐电平灰度控制过程38。 由于 LED 显示屏每个扫描块的 16 行是串行扫描的,当一行数据显示的时候,就要把下一行的灰度数据锁存到扫描电路的存储寄存器,因此当扫描 D0 比特数据 时 , 每 一 行 数 据 的 锁 存 时 间 仅 为 t 。 此 时 灰 度 数 据 的 移 位 频 率f=VFH1023M=251.4MHz,如此高的移位频率采用常规的设计方法是难于实现的,除非采用大规模的专用扫描芯片

31、,但是这样将会极增加电路设计的难度和成本。 为了改进非消隐电平控制方式,降低灰度数据的移位频率,采用在灰度控制过程中引入了消隐电平的方法。所谓消隐电平就是控制发光二极管工作状态的电平,当消隐电平有效时发光二极管不工作。 下面将讨论基于等分周期的消隐电平控制方式,若灰度数据位深为 10,则将显示屏的帧周期 Vc 均分为 10 等份,即每比特数据的扫描周期 Dc=Vc/10,此时行扫描周期 He 为 Vc/,每帧图像重复扫描 10 次实现灰度等级。LED 显示屏每一行的灰度数据的 D0 至 D9 比特所代表的工作时间分别为 t、2t,、4t、8t、16t、32t、64t、128t、256t、512

32、t,其中 t=Hc/1023,当 LED 显示屏扫描每行灰度数据的 D0至 D9 比特时,分别在扫描时间引入时长为 1022t、1021t、1019t、1015t、1007t、991t、959t、895t、767t、511t 的消隐电平,当消隐电平在扫描周期变低时发光二极管处于不工作状态。由于每一比特灰度数据的行扫描周期均为 Vc/,则所有行数据的准备时间也都为 Vc/,所以列数据的移位频率 f 也都是完全相等的,f=VFH10M=2.45 MHz,与非消隐电平控制方式相比列数据移位频率降低了约 100 倍,降低。当某行某个像素点的灰度数据的 D0 至 D9 比特全部都为 1 时,在等分周期消

33、隐电平控制方式下该点的灰度数据的工作时间为Hc/1023=Vc/。然而在同样的情况下,当 LED 显示系统工作在非消隐电平控制方式时该像素点的灰度数据工作时间却为Hc/1023=Vc/H,由于发光二极管的发光亮度与发光时间成正比,因此发光时间比就相当于发光亮度比。由此可以看出基于等分周期的消隐电平控制方式下的亮度显示效率仅为非消隐电平控制方式下的 1/10。扫描驱动 扫描驱动的实现是通过设计数字逻辑电路,产生控制信号来驱动 LED 器件轮流点亮,从而简化控制驱动电路的一种驱动方式39。这里的扫描是指逐行地循环点亮整行的 LED 器件。LED 显示屏是按行列布置发光灯的,然后再按行按列进行驱动。

34、在扫描驱动方式下要么是按行扫描,按列控制,要么是按列扫描,按行控制。如图 2-7 所示,这里是一个 m 行 n 列结构的 LED 显示屏,当采用行扫描列控制的方式来进行驱动时,从 H1 到 Hm 行轮流将高电位接通各行线,然后通过改变各列的电平来控制各 LED 的点亮顺序。例如要使 LED12 点亮,LED22 熄灭,扫描到 H1 行时,应该将 L2 控制为低电平;当扫描到 H2 行时,应该将 L2 列置为高电平。2.3 LED 显示板的信号接口 LED显示屏是由若干个小型显示板模组级联而成的,每个模组的首端和尾端都有一个级联口,如图2-8所示为LED显示板的信号接口。 图2-8 LED模组级

35、联接口以下为对LED模组级联接口信号的说明:SCK:移位时钟信号,一个周期移入一位数据。 ABCD:行选信号,用来选择LED屏对应的行; OE:是消影信号,可接到行选译码器的使能端; LT:数据锁存信号,在上升沿把数据移入位锁存器中; DR、DG、DB:红、绿、蓝三种视频信号的数据,这三个信号数据同时进行移位。 LED显示板的驱动电路在显示板背面,通常将驱动电路和LED点阵模块会尽量靠近,这是因为LED所需的驱动电流较大,故屏体的背面装有行、列驱动器。一般采用74HC595芯片来设计LED驱动电路,它的结构原理图如图2-9所示。图2-9 74HC595的原理图74HC595是一种能兼容低电压T

36、TL电路硅结构的CMOS器件。它具有8位串入并出的移位、并行锁存和三态输出的功能。对于移位寄存器和锁存器,它们分别使用独立的时钟,在数据SDATA在SCLK的上升沿,数据输入移位寄存器,然后在LT的上升沿进行数据锁存,当OE为低电平时,锁存器的数据则输出到LED40。在显示一行各列数据的同时,74HC595芯片同时准备下一列的LED数据,这样能解决了数据显示和数据串行传输在时间上的冲突问题。 本课题所设计的控制器是用于控制组成大型LED显示屏的控制板模组,该控制器将文字、图像或视频信号处理以后把控制信号与数据信号送到LED显示板的信号接口上进行LED点阵显示。LED 点阵显示屏控制器的软件设计

37、 LED 点阵显示屏控制器的核心是 FPGA 控制模块,其软件设计基本集中在FPGA 芯片的逻辑功能设计上。本课题采用自顶向下的方法进行 FPGA 设计,使用的开发工具为 Quartus II,在顶层设计中用原理图输入法实现不同功能的子模块之间的互联,在底层设计中使用 Verilog HDL 语言来开发各子模块。 FPGA 控制模块共分为时钟模块、GMII 接口数据、通信模块、灰度级产生模块,DDR 读写控制模块和 LED 扫描与驱动模块七个模块。时钟模块用于为相关的模块产生时钟信号。 DDR 读写控制模块共分为三个子模块,写 DDR 地址发生模块、读 DDR 地址发生模块和读写控制模块。写地

38、址产生模块用于按照颜色数据来产生灰度数据的存储目的地址;读地址产生模块用于按照颜色和权重来产生读地址;读写控制模块控制实现 DDR 的读写时序的控制,一方面将 GMII 接口数据通信模块送来的数据写入 DDR 中,一方面将 DDR 中的数据读出送到扫描模块实现 LED 屏的显示。时钟模块时钟模块用于产生各模块需要的时钟信号,在此利用 FPGA 置的 PLL 来产生时钟信号 clk_125M 和 clk_200M。灰度级产生模块灰度产生模块用于产生图像的灰度等级。灰度等级就是显示屏像素点的明暗对比程度,灰度等级围越大,图像越细腻、越逼真,全彩色 LED 显示屏的显示主要依靠不同的灰度等级来实现。

39、LED 显示屏的每个像素点都是由三原色红、绿、蓝的发光二极管构成,每种颜色都能进行灰度调制。三种颜色叠加后就显示各种不相同的颜色,如果每种颜色各有 256 灰度等级,那么三原色叠加后就可形成16.7M 的色彩,这便实现了真彩色。脉冲占空比控制法本系统要实现全彩色视频显示,且视频源的刷新频率仅为 60Hz,此时 LED 显示屏将出现比较明显的闪烁现象。要解决显示屏的闪烁问题可以通过提高 LED 显示屏的刷新频率来实现,当刷新频率提高至 120Hz 时,基本就可以满足 LED 全彩色显示屏无闪烁显示的要求。提高显示屏的刷新频率就意味着系统要有更高的灰度数据读写速度,就会对 FPGA 片外存储器的读

40、写速度以及时钟周期提出更高的要求,增加了电路设计的复杂程度。本课题采用了脉冲占空比控制法来实现灰度级。在第二章里介绍了占空比控制的两种控制方式:消隐电平控制方式和非消隐电平控制方式。其中,消隐电平控制方式的特点是:显示亮度大,但需要较高的移位时钟频率;非消隐电平控制方式的特点是:显示亮度较小,但所需移位时钟频率低。为了调和 LED 显示屏的移位时钟和亮度显示效率之间的矛盾,本系统结合以上两种占空比控制方式的优点,采用了一种非等分扫描周期的消隐电平控制方式,这样既可以适当降低系统的移位时钟,又能保证较高的亮度显示效率48。 要实现位深为 10 的灰度等级,可将 D9 至 D0 比特的扫描周期分别

41、设分别为16t、8t、4t、2t、2t、2t、2t、2t、2t、2t。并且在 D5 至 D0 比特数据进行扫描的单位时间 t 将工作时间减少,分别通过在单位时间 t 引入t、t、t、15/16t、31/32t、63/64t 时长的消隐电平来实现。下面将具体计算一下系统的发光效率 n、存储器读频率 RF 和列数据移位频率 f0。由于显示屏的发光亮度与二极管的发光时间成正比,因此发光时间与扫描时间的比例即为二极管的发光效率,此时显示屏的发光效率=/42=76.1%存储器读频率RF= B=/32=96.8MHz列数据移位频F=VF*H*P*M=60842800=16.2MHz由以上的计算可知,此种方

42、案 LED 显示屏的发光效率、存储器的读频率和列数据的移位频率都比较合理,方案具有可行性。 灰度级产生模块的实现 以下将具体描述用脉冲打散方案来实现灰度等级。脉冲打散方案是基于非等分扫描周期的消隐电平控制方式的一种方案,所谓脉冲打散就是不采用集中扫描的办法,而是将灰度数据的不同比特分散输出,这样就能增加灰度的均匀性,降低屏幕的闪烁感49。图 4-12 灰度级产生模块流程图 本系统的打散方案是在每个等分时间 t 分别扫描 1bit 的灰度数据,并且扫描顺序为 D9、D8、D9、D7、D9、D8、D9、D7、D9、D8、D9、D8、D9、D9、D6、D5、D4、D3、D2、D1、D0、D9、D8、

43、D9、D7、D9、D8、D9、D7、D9、D8、D9、D8、D9、D9、D6、D5、D4、D3、D2、D1、D0。数据打散方案具有灵活和逻辑实现简单的优点,并且保证了灰度数据低比特的重复扫描次数。灰度级产生模块流程图如图 4-12 所示。LED 扫描与驱动控制模块LED 扫描与驱动控制模块用于实现 LED 显示屏的各像素点的扫描和驱动。在此采用动态扫描的方式,采用 1/16 扫描,即将 256256 的 LED 小屏幕分为 16 个区,每个区为 16 行 256 列的点阵,这样可以减小串行时钟频率。FPGA 与 LED 显示屏的对应信号如图 4-22 所示。首先 FPGA 将一组 RGB 数据

44、信号移入到 LED 屏驱动电路中的 74HC595 中。当一行的数据全移入时,将关闭输出使能信号 OE,且 DCBA 加 1,选择下一行。当 ST 出现一个上升沿时,产生一个锁存信号,数据由 74HC595 的后台移入前台锁存。然后将 OE 设置为有效,即可把 RGB 数据在 LED 显示屏的对应行上显示出来。重复以上的过程,当完成一整屏的灰度数据显示后,就开始等待,直到新的数据到来。 图 4-22 FPGA 与 LED 显示屏的连接图由于本系统采用的是脉冲打散方案,即是在每个等分时间 t 分别扫描 1 bit灰度数据,扫描顺序为 D9、D8、D9、D7、D9、D8、D9、D7、D9、D8、D

45、9、D8、D9、D9、D6、D5、D4、D3、D2、D1、D0、D9、D8、D9、D7、D9、D8、D9、D7、D9、D8、D9、D8、D9、D9、D6、D5、D4、D3、D2、D1、D0。对每个区进行具体的扫描过程为:首先从 DDR 读取该区所有像素的第 9 位灰度值数据,然后使行选信号 DCBA 选择第一行,将这一行中所有像素点的第 9 位灰度值数据送到各列移位寄存器,因每行有 256 个像素点,共需要移位 256 次,送完后给出一个锁存信号,显示第一行数据,然后,送第二行各列的第 9 位灰度数据,锁存显示,以此类推,直至将第十六行各列的第 9 位数据送入。在其位权值对应的显示时间过后,关

46、闭行选通使能,实现消隐。当第 9 位数据扫描完毕后,按照脉冲打散方案的扫描顺序从 DDR 继续读取第 8 位数据开始扫描,以此类推,直 到 扫 描 列 表 中 42 组 数 据 全 都 扫 描 完 。 列 移 位 频 率 约 为VFH42*M=6016*42*256=10.3MHz,在此列移位频率采用 12.5M 的时钟。 总结与展望 在课题设计中,充分了解了大屏幕的应用状况和发展趋势,并深入学习和研究了全彩色 LED 显示屏的控制技术,通过近一年的努力,完成了 LED 显示屏控制器的设计。 本文提出了一套实现全彩色 LED 同步显示屏控制器的方案,将整个控制器分为以太网接口模块,FGPA 控

47、制模块,DDR 存储模块,LED 驱动电路四个部分,并对各个部分进行了详细的阐述,并从多方而论述了该方案的可行性。本设计中采用当前比较先进的 EDA 设计技术,基于Xilinx 公司的 FPGA 进行设计,完成了视频信号的处理并对 LED 显示屏进行了驱动显示,使系统设计更为灵活。在该设计中实现了可靠、高速的远程数据传输。经过大量的文献检索、反复的方案论证以及不懈的开发研究,本课题所有的设计工作基本完成,成功地实现了 256256 像素全彩色 LED 显示屏同步控制器的基本功能。实际测试表明,该控制器运行良好,性能稳定。 本设计取得了一定的成果,但仍有一些方面需要进一步改进和完善。 LED 显

48、示屏驱动:虽然利用占空比能够解决灰度实现,但牺牲了显示时间,若是有更高亮度的显示要求,这不一定是好的选择。提高数据在控制器中的传输速率对解决高亮度显示的问题是有益的,但这会使设计极受限于 FPGA 器件的性能,不利于产品的移植或更新换代,且对于 PCB 制板也提出了很高的要求。 希望今后随着研究的进一步深入以及相关技术的提高,可以圆满解决该问题。参考文献1俞彬杰.基于 FPGA 的全彩色 LED 同步显示屏控制系统的设计D.硕士学位论文,交通大学,20082易幸幸.手机屏幕基质的中文文字设计研究D.硕士学位论文,大学,20093黄春霞. LED 显示屏发展历程和应用领域J.中国照明,20094

49、叶心明.基于 FPGA 的 LED 视频显示控制系统的设计D.硕士学位论文,交通大学,20095郭宝增,邓淳苗.基于 FPGA 的 LED 显示屏控制系统的设计J.液晶与显示,2010,6:4244286丹彤.基于 FPGA 的大屏幕 LED 点阵显示系统设计J.微计算机信息嵌入式与 SOC,2009,6:4244287雁,安建平.基于 FPGA 的全彩色大屏幕 LED 控制器设计J.电子器件,2007,12:215521588伟.基于 Nios的 LED 显示屏控制系统的研究D.硕士学位论文,海事大学,20059龙惠民,王学渊.一种基于 FPGA 的 LED 视频显示系统的设计J.微电子学,

50、2006,12:84584810续天翔.LED 图像显示屏灰度控制及在 FPGA 中的实现J.科技,2008,12:717211姣,郝国法,方康玲.基于 cyclone EP1C6 的 LED 大屏设计方案J.微计算机信息,2006,12:596213勋.LED 图文信息显示系统的研制D .硕士学位论文,师大学,200914康志英,冉峰,徐美华.LED 显示屏高灰度扫描控制的 FPGA 实现J.微计算机信息,2006,12:596215续天翔.LED 图象显示屏 Gamma 校正及在 FPGA 中的实现J.机械管理开发,2008,12:18718816 Xian-rui Li, Song-li

51、n Wang, Xin-quan Lai. Design and implement of LED drive circuit chip with the controllable constant output current J.Optoelectronics Letters,2009,11:18618917高小飞.16 位 LED 恒流驱动芯片设计D .硕士学位论文,北方工业大学,200718Liang Zhi-ming, Li Bin.Design of scan controller in large LED screen based on FPGAcicuitJ.Chinese J

52、ournal ofLiquid Crystals and Displays,2007,22:477-48119P.A.Cirkel.et al.Design choices in LED backlight LCD TV,200820中国光协光电器件分会 LED 显示屏专委会.中国 LED 显示屏产业发展状况综述J.现代显示,200121晓洋.城市户外动态广告之环境适应性研究D.硕士学位论文,同济大学建筑与城市规划学院,200522王琰.基于 FPGA 的信号采集与处理技术的研究D.硕士学位论文,工业大学,2010.23 梅宏亮.基于 IP 核的 SOPC 设计技术D.硕士学位论文,复旦大学,

53、200424夏宇闻.Verilog 数字系统设计教程M.航空航空大学,2008,225万春迎.基于 VHDL 的数字时钟设计J.科技信息,2008,2726朱楠.基于 FPGA 的流密码机设计D.硕士学位论文,电子科技大学,201027欢.基于 FPGA 的多核嵌入式系统研究J.现代计算机,2010,428磊.FPGA 在某型导弹无线电高度表测试仪中的应用J.电子测量技术2011,34:729何志国,小明.基于 FPGA 的 LED 屏彩色图像增强算法设计J .现代显示,2010,5:12813330志坚.全彩色 LED 显示屏真彩色显示技术研究D.硕士学位论文,中南大学 200931梁志明.

54、基于 FPGA 的大屏幕全彩 LED 扫描控制器设计J.液晶与显示,2007,432才荣.基于 DSP 与 FPGA 的 LED 显示屏控制系统设计D.硕士学位论文,中国科学院光学精密机械与物理研究所,200633广开.以太网接入控制系统设计与验证J.电子测量技术学术期刊,200834周岩,高.DVI 输出图像选区截取的 FPGA 实现J.电视技术,2008,5192135宏业,段哲民,靖.基于 FPGA 的大屏幕新型控制器的设计J.微处理机,2009,2:111336汉尚.基于 FPGA 的 LED 显示屏设计D.硕士学位论文,工业大学,201037宋承杰.基于 FPGA 的彩色 LED 大

55、屏幕显示系统的设计与实现D.硕士学位论文,科技大学,201038倩.基于 FPGA 的高灰度级 LED 屏控制系统的设计与研究D.硕士学位论文, 师大学,201139丁弋宇.基于嵌入式以太网的 LED 显示屏控制系统应用研究D.东南大学,200640丽莎,朱桦,秀清.基于网口传输的 LED 同步屏控制系统及其 FPGA 实现J.电子设计工程,2010,2:636641Realtek Semiconductor Corp. Intergrated 10/100/1000 single/dial gigabit Ethernet transceiver,. realtek. .,200342程鹏,刚.基于 FPGA 的 lOM/100M 以太网控制器的设计J.理工大学学报 2008,21 / 21

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!