FPGA交通灯实验报告

上传人:guoc****ang 文档编号:100201452 上传时间:2022-06-02 格式:DOC 页数:15 大小:562.28KB
收藏 版权申诉 举报 下载
FPGA交通灯实验报告_第1页
第1页 / 共15页
FPGA交通灯实验报告_第2页
第2页 / 共15页
FPGA交通灯实验报告_第3页
第3页 / 共15页
资源描述:

《FPGA交通灯实验报告》由会员分享,可在线阅读,更多相关《FPGA交通灯实验报告(15页珍藏版)》请在装配图网上搜索。

1、交通灯实验报告一, 实验目的实现两路信号灯交替亮起,并利用两组数码管分别对两路信号进行倒计时。两路信号时间分别为:V:绿灯(30S) H:红灯(35S) 黄灯(5s) 绿灯(30S) 红灯(35S) 黄灯(5S)二, 实验步骤建立工程可在欢迎界面点击“Creat a New Project”进入工程建立界面,亦可关闭欢迎界面,点击菜单栏的“File”,点击“New Project Wizard”进入建立工程界面。右侧为建立工程界面,点击next。在此界面选定工程路径,取好工程名,点击“Next”。注意:路径中不能有中文,工程名也不能有中文。一直点击“Next”进入器件设置界面,DE2-70开发

2、工具采用的Cyclone II系列的EP2C70F896C6N。点击“Finish”,完成工程建立1、 点击“File”,点击“New” 选择“Verilog HDL”2, 点击主界面工具栏中的 选择“Verilog HDL” 3、写入verilog代码。代码如下:module traffic(Clk_50M,Rst,LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V,Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL,led15);parameter S1=2b00;parameter S2=2b01;parameter S3=2b10;param

3、eter S4=2b11;input Clk_50M,Rst;output LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V;output6:0 Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL;output led15;/-div for 1Hz-start-reg Clk_1Hz;reg 31:0 Cnt_1Hz;always(posedge Clk_50M or negedge Rst)begin if(!Rst) begin Cnt_1Hz=1; Clk_1Hz=25000000) begin Cnt_1Hz=1; Clk_1Hz=Clk

4、_1Hz; end else Cnt_1Hz=30) Cnt30=1; else Cnt30=5) Cnt30=1; else Cnt30=30) Cnt30=1; else Cnt30=5) Cnt30=1; else Cnt30=30) CntV=1; else CntV=5) CntV=1; else CntV=35) CntV=1; else CntV=35) CntH=1; else CntH=30) CntH=1; else CntH=5) CntH=1; else CntH29)begin CntDis7:4=3; CntDis3:019)begin CntDis7:4=2; C

5、ntDis3:09)begin CntDis7:4=1; CntDis3:0=CntVV - 10;endelse CntDis29)begin CntDiss7:4=3; CntDiss3:019)begin CntDiss7:4=2; CntDiss3:09)begin CntDiss7:4=1; CntDiss3:0=CntHH - 10;endelse CntDiss=30) begin state=5) begin state=30) begin state=5) begin state=S1; enddefault: begin state=S1; endendcaseendalw

6、ays(posedge Clk_1Hz)begin case(state) S1: begin stateH=S1; stateV=S1; endS2: begin stateH=S1; stateV=S2; endS3: begin stateH=S2; stateV=S3; endS4: begin stateH=S3; stateV=S3; endendcaseendalways(posedge Clk_50M or negedge Rst)begin if(!Rst) begin LedR_H=0; LedG_H=0;LedY_H=0; LedR_V=0; LedG_V=0;LedY_

7、V=0;endelse begin case(state) S1: begin LedR_H=1; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=1; LedY_V=0; end S2: begin LedR_H=1; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=0; LedY_V=1; end S3: begin LedR_H=0; LedG_H=1; LedY_H=0; LedR_V=1; LedG_V=0; LedY_V=0; end S4: begin LedR_H=0; LedG_H=0; LedY_H=1; LedR_V=1

8、; LedG_V=0; LedY_V=0; end default: begin LedR_H=0; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=0; LedY_V=0; end endcase endendassign led15=state;endmodule module SEG7_LUT(oSEG,iDIG);input3:0iDIG;output6:0oSEG;reg6:0oSEG;always (iDIG)begincase(iDIG)4h1: oSEG = 7b1111001;/ -t-4h2: oSEG = 7b0100100; / | |4h3:

9、 oSEG = 7b0110000; / lt rt 4h4: oSEG = 7b0011001; / | |4h5: oSEG = 7b0010010; / -m-4h6: oSEG = 7b0000010; / | |4h7: oSEG = 7b1111000; / lb rb4h8: oSEG = 7b0000000; / | |4h9: oSEG = 7b0011000; / -b-4ha: oSEG = 7b0001000;4hb: oSEG = 7b0000011;4hc: oSEG = 7b1000110;4hd: oSEG = 7b0100001;4he: oSEG = 7b0

10、000110;4hf: oSEG = 7b0001110;4h0: oSEG = 7b1000000;endcaseendendmodule编译工程保存文件,将文件放在所建工程所在路径下点击主界面工具栏中的图标也可点击菜单栏中“Processing”,点击“Start Compilation”分配关键如下:Clk_50MInputPIN_AD15LedG_HOutputPIN_AD9LedG_VOutputPIN_AJ6LedR_HOutputPIN_AJ7)LedR_VOutputPIN_AJ5)LedY_HOutputPIN_AD8LedY_VOutputPIN_AK5RstInputPI

11、N_AA23Seg7_HH6OutputPIN_G1Seg7_HH5OutputPIN_H3Seg7_HH4OutputPIN_H2Seg7_HH3OutputPIN_H1Seg7_HH2OutputPIN_J2Seg7_HH1OutputPIN_J1Seg7_HH0OutputPIN_K3Seg7_HL6OutputPIN_E4Seg7_HL5OutputPIN_F4Seg7_HL4OutputPIN_G4Seg7_HL3OutputPIN_H8Seg7_HL2OutputPIN_H7Seg7_HL1OutputPIN_H4Seg7_HL0OutputPIN_H6Seg7_VH6Output

12、PIN_AD17Seg7_VH5OutputPIN_AF177Seg7_VH4OutputPIN_AE177Seg7_VH3OutputPIN_AG16Seg7_VH2OutputPIN_AF167Seg7_VH1OutputPIN_AE167Seg7_VH0OutputPIN_AG13Seg7_VL6OutputPIN_AD12Seg7_VL5OutputPIN_AD11Seg7_VL4OutputPIN_AF108Seg7_VL3OutputPIN_AD10Seg7_VL2OutputPIN_AH98Seg7_VL1OutputPIN_AF98Seg7_VL0OutputPIN_AE88烧写代码在管脚配置完成后,还需将工程再编译一次,成功后,点击主界面工具栏中的亦可点击主界面菜单栏中“Tools”,点击“Programmer”进入代码烧写界面后,点击“Start”,当“Progress”为100%时,表示烧写完成,这是可观察DE2-70板现象获得预期的效果,两组的信号红黄绿灯交替切换,计数器记为零时信号灯切换状态,红灯35s,黄灯5s,绿灯30s。三, 心得体会通过本次实验初步了解了EDA技术,熟悉了FPGA开发板的开发流程,锻炼了动手能力。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!