欢迎来到装配图网! | 帮助中心 装配图网zhuangpeitu.com!
装配图网
ImageVerifierCode 换一换
首页 装配图网 > 资源分类 > DOC文档下载
 

EDA实验正弦信号发生器的设计

  • 资源ID:78062116       资源大小:3.55MB        全文页数:8页
  • 资源格式: DOC        下载积分:10积分
快捷下载 游客一键下载
会员登录下载
微信登录下载
三方登录下载: 微信开放平台登录 支付宝登录   QQ登录   微博登录  
二维码
微信扫一扫登录
下载资源需要10积分
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

EDA实验正弦信号发生器的设计

学生实验报告系别电子信息学院课程名称EDA综合实验班级12通信实验名称正弦信号发生器的设计姓名实验时间 2014年5 月 日学号2012指导教师 王红航成绩批改时间2014年 月 日报 告 内 容一、实验目的和任务 进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。二、实验原理介绍EDA是电子设计自动化,对象是数字电路设计,而证选择、新号发生器的设计却是一个模拟电路设计,因此需要用到D/A转换器。根据正弦信号发生器的波形,得知该试验的输入为时间,而输出为振幅。如果将正弦波的振幅放在存储单元为64的ROM中,根据ROM的功能得知,ROM中的数据与地址是一一对应的关系,因此设计由时间和振幅的对应关系转换为时间和地址的对应关系。据此,要得到正弦信号,需要设计一个6位二进制计数器。下图为总体设计框图:在许多实用情况下,必须使用宏功能模块才能使用一些Altera特定期间的硬件功能,例如各类片上存储器、DSP模块等等。这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提高。LPM是参数可设置模块库(Library of Parameterized Modules)的英语缩写。根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要,从而在自己的项目中十分方便的调用优秀的电子工程技术人员的硬件设计成果。LPM功能模块内容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块参数设置及调用方法都可以在QuartusII中的help中查阅到,方法是选择helpMegafunction/LPM命令。定制LPM_ROM模块流程:1、 定制初始化数据文件,建立.hex格式文件(1) 打开QuartusII软件,选择“NEW”菜单,在“others”卡片下选择“Hexadecimail(Inter-Format)File”或者“Memory Initialization File”,如下图所示。 (2) 点击图中的OK后,弹出如图所示的图片,改写“Number of words”为64. (3) 点击图中OK后,弹出如图所示的图片,按照图的数据讲空白的表格填上数字,这些数字即为ROM中存储的数字。填完数字后,将该违建保存,保存的名字遵循标识符的取名规律,在此取名字为rom.hex。注意:该文件存储在工作库中。2、 定制LPM_ROM原件(1)打开Tools菜单,选择“Mega WiZard Plug-In Manager”,如下图5所示。 (2)点击打开Mega WiZard Plug-In Manager初始对话框,选择创建新的宏功能模块,如图6所示 (3)点击“Next”,选择宏功能模块(Rom:1-PORT)、使用器件(ACEX1K)、输出文件信息(文件类型:VerilogHDL;文件地址:D:11studentsyjy; 文件名称:ROM1),如图7所示。 (4)点击“Next”,选择ROM模块存储数据位数和地址线宽(既存储容量),如图8所示。 (5)点击“Next”,选择地址锁存信号inclock,如图9所示。 (6)点击“Next”,导入定制的.hex文件 (7)默认仿真库,如图11所示,不做任何选择。 (8)选择产生文件类型,默认产生ROM1.v,可以自由选择让系统产生更多的文件。 3、 对生成的文件建工程,编译,仿真,生成ROM1原件。(1)首先在文件夹D:11studentsyjy中找到生成的文件ROM1.v;(2)将ROM1.v设为当前工程,并对其编译;(3)对ROM1.v进行仿真,仿真波形如图13所示。(4)生成ROM1元件,如图14所示。 根据上面讲述的定制LPM_ROM模块流程,完成以下实验任务。实验任务一:根据上述QuartusII宏功能模块使用方法,设计6位计数器,对生成的counter6.v文件建工程、编译、仿真,并生成counter1元件。注意:计数器宏功能模块选择: 实验任务二:完成正弦信号发生器的完整设计,并给出其时序波形及其分析。实验任务三:硬件验证设计正弦信号发生器的功能。1.可选实验电路5;2.DAC0832的8位数据口D7.0分别和FPGA的PI031、30.24相连;时钟信号接系统的clock0。四、实验结论与心得 更好的掌握了LPM_ROM与FPGA硬件资源的使用方法,了解了其用途。将课堂所学到的知识在实验中实践,加深了同学们对知识的理解,让我们对它有了更加浓厚的兴趣。

注意事项

本文(EDA实验正弦信号发生器的设计)为本站会员(无***)主动上传,装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知装配图网(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!