欢迎来到装配图网! | 帮助中心 装配图网zhuangpeitu.com!
装配图网
ImageVerifierCode 换一换
首页 装配图网 > 资源分类 > DOC文档下载
 

基于单片机的气体检测系统设计..

  • 资源ID:70176223       资源大小:126.50KB        全文页数:25页
  • 资源格式: DOC        下载积分:23积分
快捷下载 游客一键下载
会员登录下载
微信登录下载
三方登录下载: 微信开放平台登录 支付宝登录   QQ登录   微博登录  
二维码
微信扫一扫登录
下载资源需要23积分
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

基于单片机的气体检测系统设计..

.高等教育自学考试本科毕业论文基于单片机的气体检测系统设计考生:号:专业层次:院系:指导教师: 职 称:科技学院二O一三年九月十五日摘 要本论文研究设计了一种用于公共场所及室具有检测及超限报警功能的室空气质量检测系统。其设计方案基于89C51单片机,选择瑞士蒙巴波公司的CH20/S-10甲醛传感器和MQ-5气体传感器。系统将传感器输出的420mA的标准信号通过以AD0832为核心的A/D转换电路调理后,经由单片机进展数据处理,最后由LCD显示甲醛浓度值。文中详细介绍了数据采集子系统、数据处理过程以及数据显示子系统和报警电路的设计方法和过程。系统对于采样地点超出规定的甲醛容许浓度和天然气规定浓度时采用三极管驱动的单音频报警电路提醒监测人员。同时,操作人员对于具体报警点的上限值可以通过单片机编程进展设置。 另外,该系统对浓度信号进展了信号补偿等处理,减少了测量误差,因此,具有较高的测量精度,而且构造简单,性能优良。本系统的量程为0-10ppm,精度为0.039ppm 。关键词: 甲醛检测,天然气检测,AT89C52单片机. >.ABSTRACTThis thesis design of a paper for public places and indoor testing and over-limit alarm functions with indoor air quality testing system. Its design is based on 89C51 single chip, with the choice of MQ-5 gas sensors and CH20/S-10 formaldehyde sensor from Switzerland mengbabo pany. Sensor system will output 4 20mA standard signal through the core ADC0832 for A / D conversion circuit after conditioning, by the single-chip microputer for data processing, at last display the formaldehyde concentration on the LCD . The article detailed the data acquisition subsystem, data processing and data display and alarm system circuit design method and process.When the sampling sites when the formaldehyde and Natural gas concentration e*ceeded,To the single-transistor drive circuit audio alarm will sound the alarm,Testing staff to remind.At the same time,The concentration of formaldehyde, Can be set through the single-chip programming. In addition, the system signals a concentration pensation signal processing, a reduction of measurement error, therefore, have a high measurement accuracy, and simple structure, e*cellent performance. The range of the system for 0-10ppm, accuracy 0.039ppm.Keywords:Formaldehyde detection,Natural gas detection, AT89C52 single-chip. >.目 录摘要IABSTRACTII1 绪论11.1 设计的意义11.2设计的容11.3开展背景1总体方案设计32.1总体方案设计32.2总体电路概念图设计33 硬件设计43.1主控芯片AT89C52的设计43.2 传感器设计53.3 模数转换设计73.4 按键设计93.5 外围扩大存储器设计103.6 时钟芯片设计103.7 LCD显示器设计114 软件设计134.1 主程序模块设计134.2模数转换设计134.3按键模块设计134.4时钟模块设计144.5LCD显示模块设计155系统仿真165.1编译软件介绍165.2主程序16结论22致23参考文献24. >.1 绪论1.1 设计的意义甲醛是一种无色,有强烈刺激性气味的气体。易溶于水、醇和醚。甲醛在常温下是气态,通常以水溶液形式出现。其37%的水溶液称为福尔马林,医学和科研部门常用于标本的防腐保存。此溶液沸点为19.5故在室温时极易挥发,随着温度的上升甲醛的挥发速度加快。在我国有毒化学品优先控制中甲醛列居第二位。甲醛已被世界卫生组织确定为致癌和致畸形物质。甲醛是原浆毒物,能与蛋白质结合,吸入高浓度甲醛后会出现呼吸道的严重刺激和水肿、眼刺痛、头痛,也可发生支气管哮喘皮肤直接接触甲醛,可引起皮炎、色斑、坏死。经常吸入少量甲醛,能引起慢性中毒,出现粘膜充血、皮肤刺激症、过敏性皮炎、指甲角化和脆弱等。全身病症有头痛、乏力、心悸、失眠、体重减轻以及植物神经紊乱等。天然气、液化天然气俗称煤气罐是一种多组分的混合气体,主要成分是烷烃,其中甲烷占绝大多数,另有少量的乙烷、丙烷和丁烷,此外一般还含有硫化氢、二氧化碳、氮和水气,以及微量的惰性气体,如氦和氩等。在标准状况下,甲烷至丁烷以气体状态存在,戊烷以上为液体。天然气在燃烧过程中产生的能影响人类呼吸系统安康的物质极少,产生的二氧化碳仅为煤的40%左右,产生的二氧化硫也很少。天然气易燃易爆和空气混合后,温度到达550左右就会燃烧;其混合物浓度到达5%15%,遇到火种就会爆炸。天然气热值高,约850010000千卡/米3,天然气燃烧后发出的热量是一样体积的城市煤气的2.5倍左右。1.2设计的容本论文主要完成室空气质量检测仪的软件设计,设计容包括:A/D转换器程序、控制程序、超标报警、键盘检测、数据显示等。本系统采用单片机为控制核心,以实现室空气质量检测仪的根本控制功能。系统主要功能容包括:数据处理、时间设置、开场测量、超标报警、键盘检测、自动休眠:仪器假设不进展称量操作,5分钟后自动进入休眠模式,以降低电源消耗。本系统设计采用功能模块化的设计思想,系统主要分为总体方案设计、硬件和软件的设计三大局部。1.3开展背景室甲醛污染和天然气泄露对人身体安康影响较大,标准规定的方法绝大多数是化学分析法,使用的手段是实验室分析仪器 主要有比色计、 分光光度计、化学滴定、 气相和液相色谱。但这些方法费力费时、本钱高、自动化程度低过程复杂、大多数过程是人工操作很难做到现场实时控制随着传感器和计算机技术的不断开展,现已有了基于单片机的空气质量检测仪,并且测试测试围、分辨率、精度、稳定性已接近标准要求。因此本设计可选用基于电化学原理的甲醛传感器与MQ-5气体传感器。甲醛传感器其原理是空气中的甲醛在电极下发生氧化反响,产生的扩散电极电流与空气中的甲醛浓度成正比,通过检测放大电路和放大倍数的调整经A/D转换后送单片机;MQ-5气体传感器由微型AL2O3 瓷管、SnO2 敏感层,测量电极和加热器构成的敏感元件组成,通过检测放大电路和放大倍数的调整经A/D转换后送单片机,由单片机现场自动控制检测并显示甲醛浓度和煤气、天然气主要参数。. >. 总体方案设计2.1总体方案设计室甲醛污染和天然气泄露对人身体安康影响较大,标准规定的方法绝大多数是化学分析法,使用的手段是实验室分析仪器 主要有比色计、 分光光度计、化学滴定、 气相和液相色谱。但这些方法费力费时、本钱高、自动化程度低过程复杂、大多数过程是人工操作很难做到现场实时控制随着传感器和计算机技术的不断开展,现已有了基于单片机的空气质量检测仪,并且测试测试围、分辨率、精度、稳定性已接近标准要求。因此本设计可选用基于电化学原理的甲醛传感器与MQ-5气体传感器。甲醛传感器其原理是空气中的甲醛在电极下发生氧化反响,产生的扩散电极电流与空气中的甲醛浓度成正比,通过检测放大电路和放大倍数的调整经A/D转换后送单片机;MQ-5气体传感器由微型AL2O3 瓷管、SnO2 敏感层,测量电极和加热器构成的敏感元件组成,通过检测放大电路和放大倍数的调整经A/D转换后送单片机,由单片机现场自动控制检测并显示甲醛浓度和煤气、天然气主要参数。2.2总体电路概念图设计总体的硬件系统构造框图如图2-1所示图2-1硬件电路构造图. >.3 硬件设计3.1主控芯片AT89C52的设计AT89C52是美国ATMEL公司生产的低电压,高性能CMOS 8位单片机,片含8K bytes的可反复擦写的只读程序存储器(PEROM)和256K bytes的随机存取数据存储器,器件采用ATMEL公司的高密度,非易失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼容,片置通用8位中央处理器和FLASH存储单元,功能强大,AT89C52单片机适合于许多较为复杂控制应用场合。主要性能参数:l 与MCS-51产品指令和引脚完全兼容l 8K字节可重擦写FLASH闪存存储器l 1000次写/擦循环l 时钟频率:0Hz24MHzl 三级加密存储器l 256字节部RAMl 32个可编程I/O口线l 3个16位定时/计数器l 6个中断源l 可编程串行UART通道l 低功耗的空闲和掉电模式l 片振荡器和时钟电路图3-1 引脚图3.2 传感器设计从传感器过来的电压信号,必须放大,滤波,采集,转换才能被MCU识和处理。由于假假设每一路都设置放大、滤波等器件,则本钱会很大,所以信号的采集一般用多路模拟通路进展选择。然而选择多路模拟开关时必须考虑以下的几个因素:通道数量、切换速度、开关电阻和器件的封装形式。总之数据采集与硬件的选择有很大的关系4。甲醛传感器的选择:甲醛传感器由甲醛探头CH20传感器组成。甲醛传感器/甲醛模块CH2O传感器详细介绍如下表3-3:MQ-5气体传感器的选择:MQ-5气体传感器由微型AL2O3瓷管、SnO2敏感层,测量电极和加热器构成的敏感元件组成。MQ-5气体传感器详细介绍如下表3-4。(3)测量电路测量电路由CH20/S-10甲醛传感器,MQ-5气体传感器,ADC0832组成。甲醛传感器由甲醛探头和CH20传感器组成。当空气被部的采样系统吸收后,产生一个与甲醛浓度成正比的电压信号, 该电压信号经AD0832与AT89C52单片机相连,在显示器上显示出甲醛的浓度值,当超过国家规定的标准时报警。MQ-5传感器通过+热气对敏感层加热,当有烟雾性煤气接触单敏感层会产生电压信号经AD0832与AT89C52单片机相连超过国家规定的标准时报警。表3-3甲醛传感器参数表名称甲醛传感器CH2O/S-10:测量围0- 10 ppm最大负荷50ppm工作寿命空气中3年输 出1200±300nA/ppm4-20mA(甲醛模块分辨率0.05 ppm温度围-20 to 45压力围大气压±10%响应时间 (T 90) 50 seconds湿度围-20 to 45零点输出 (纯洁空体,20) 0.1 ppm最大零点漂移(20to 40)0.1 ppm长期漂移2% /每月推荐负载值10线性度输出线性重 量约32克表3-4 MQ-5气体传感器参数表名称MQ5气体传感器加热电压5.0V±0.2V AC or DC负载电阻可调加热电阻31±3室温加热功耗900mW回路电压15V AC or DC使用温度-10-50储存温度-20-70相对湿度小于95%Rh氧气浓度21%(标准条件)敏感体电阻10K-60K浓度斜率0.6标准工作条件温度:20±2Vc:5.0V±0.1V相对湿度:65%±5%Vh:5.0V±0.1V预热时间不少于24小时探测围300-5000ppm液化气,天然气,煤气。3.3 模数转换设计1实现A/D转换的根本方法很多,有计数法、逐次逼近法、双斜积分法和并行转换法。由于逐次逼近式A/D转换具有速度,分辨率高等优点,而且采用这种方法的ADC芯片本钱低,所以我们采用逐次逼近式A/D转换器。逐次逼近型ADC包括1个比拟器、一个模数转换器、1个逐次逼近存放器SAR和1个逻辑控制单元。逐次逼近型是将采样信号和电压不断进展比拟,一个时钟周期完成1位转换,依次类推,转换完成后,输出二进制数。这类型ADC的分辨率和采样速率是相互牵制的。优点是分辨率低于12位时,价格较低,采样速率也很好。2由于ADC0832模数转换器具有8位分辨率、双通道A/D转换、输入输出电平与TTL/CMOS相兼容、5V电源供电时输入电压在05V之间、工作频率为250KHZ 、转换时间为32 微秒、一般功耗仅为15MW等优点,适合本系统的应用,所以我们采用ADC0832为模数转换器件。电路图见图3-6如下:图3-6 模数转换电路图ADC0832 具有以下特点:· 8位分辨率;· 双通道A/D转换;· 输入输出电平与TTL/CMOS相兼容;· 5V电源供电时输入电压在05V之间;· 工作频率为250KHZ,转换时间为32S;· 一般功耗仅为15mW;· 8P、14PDIP双列直插、PICC 多种封装;· 商用级芯片温宽为0°C to +70°C,工业级芯片温宽为40°C to +85°C;芯片接口说明:· CS_ 片选使能,低电平芯片使能;· CH0 模拟输入通道0,或作为IN+/-使用;· CH1 模拟输入通道1,或作为IN+/-使用;· GND 芯片参考0 电位地;· DI 数据信号输入,选择通道控制;· DO 数据信号输出,转换数据输出;· CLK 芯片时钟输入;· Vcc/REF 电源输入及参考电压输入复用;ADC0832 为8位分辨率A/D转换芯片,其最高分辨可达256级,可以适应一般的模拟量转换要求。其部电源输入与参考电压的复用,使得芯片的模拟电压输入在05V之间。芯片转换时间仅为32S,据有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。通过DI 数据输入端,可以轻易的实现通道功能的选择。3单片机对ADC0832 的控制原理:正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时有效并与单片机的接口是双向的,所以电路设计时可以将DO和DI 并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进展A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全完毕。此时芯片开场转换工作,同时由处理器向芯片时钟输入端CLK 输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第1 个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第2、3个脉冲下沉之前DI端应输入2 位数据用于选择通道功能,4测量量程本系统的量程为0-10ppm。由于我所使用的是8位ADC0832,所以本系统的精度为:10ppm/256=0.039ppm。3.4 按键设计本系统应用有人机对话功能,该功能即能随时发出各种控制命令和数据输入以及和LCD连接显示运行状态和运行结果。键盘分为:独立式和矩阵式两类,每一类按其编码方法又可以分为编码和非编码两种。由于本系统只有UP、DOWN 、OK 、CANCEL4个控制命令,所需按键较少,所以本系统选择独立式按键。电路图见图3-7:图3-7 按键电路图独立式按键是直接用I/O口线构成的单个按键电路。每个独立式按键占有一根I/O口线。各根I/O口线之间不会相互影响。在此电路中,按键输入部采用低电平有效,上拉电阻保证了按键断开时,I/O口线有确定的高电平,AT89C52 .P1口部接有上拉电阻所以就不需要再外接上拉电阻。键盘抖动的消除:抖动的消除大致可以分为硬件削抖和软件削抖。硬件削抖是采用硬件电路的方法对键盘的按下抖动及释放抖动进展削抖,经过削抖电路后使按键的电平信号只有两种稳定状态。软件削抖的根本原理是当检测出键盘闭合时,先执行一个延时子程序产生数毫秒的延时,待接通时的前沿抖动消失后再判别是否有健按下。当按键释放时,也要经过数毫秒延时,待后沿抖动消失后再判别键是否释放。由于应用硬件削抖还需要外加器件,本钱相对较高,所以本系统选择软件延时削抖的方法。3.5 外围扩大存储器设计基于AT89C52单片机具有8KB的程序存储器ROM,256B的数据存储器RAM,由于考虑到本系统的数据处理与存储所需的容量,现在需要扩大存储器的容量。在应用中要保存一些参数和状态,据了解基于EEPROM的存储芯片是一种很好的选择,选定了AT24C128存储器。电路图见图3-8: 图3-8 外围扩大存储电路图3.6 时钟芯片设计因为此系统需要记录测量发生的时间,所以需要时钟芯片来记录不同人在不同时间的监测数据,因此我们在系统中参加了时钟芯片。对时钟芯片的要求首先是低功耗,其次是编程简单,缩短程序开发时间,实际上也就缩短了系统用于实际生产所用的开发周期以及本钱,在本系统,我们选择了DS1302时钟芯片。时钟电路选择的芯片是 DS1302,其含一个实时时钟/日历和31字节静态RAM,可以通过串行接口与单片机通信。而通信时,仅需要3个口线:1RES复位,2I/O数据线,3SCLK串行时钟。时钟/RAM的读/写数据以一字节或多达31字节的字符组方式通信。其工作时功耗很低,广泛应用于, ,便携式仪器等产品领域3。DS1302主要性能有:时实时钟能计算2100年之前的秒、分、时、日、日期、星期、月、年的能力,还有闰年的调整能力;读/写时钟或RAM数据时,有单字节和多字节传送两种方式;与DS1202/TTL兼容。DS1302引脚概述:*1,*2:振荡源,外接32。768KHZ晶振;SCLK:串行时钟输入端。其电路图如图3-9: 图3-9 时钟电路图3.7 LCD显示器设计对于本系统要有显示装置完成显示功能。显示器最好能够显示数据、图形。考虑到同种LCD显示器的屏幕越大体积越大,功耗越大的特点,在同类产品中选用了AMPIRE128*64液晶显示模块。该型号显示器消耗电量比拟低,可以满足系统要求。该类液晶显示模块采用动态的液晶驱动,可用5V供电。以下为LCD显示电路接线原理图如图3-11图3-11 液晶电路图在单片机系统中应用LCD显示器作为输出器件有以下几个有点:显示质量高:由于液晶显示器每一个点在收到信号后就一直保持那种色彩和亮度,恒定发光,而不像阴极射线管显示器CRT那样需要不断刷新新亮点。因此,LCD显示器画质高且不会闪烁。数字式接口:液晶显示器都是数字式的,和单片机系统的接口更加简单可靠,操作更加方便。体积小、重量轻:液晶显示器通过显示屏上的电极控制液晶分子状态来到达显示的目的,在重量上比一样显示面积的传统显示器要轻的多。功耗低:相对而言,液晶显示器的功耗主要消耗在其部的电极和驱动IC上,因而耗电量比其他显示器要少的多5。. >.4 软件设计4.1 主程序模块设计主程序实现的功能:与硬件相结合实现便携式甲醛检测仪的各个功能。主要是检测与显示,时间调整与显示,数据存储。功能子函数的调用。见图4-1初始化时钟初 始 化LCD 显示开机画面显示时间显示主菜单初始化CPU开场读键图4-1 主程序流程图4.2模数转换设计(1) 模数转换模块的主要功能就是将经放大器放大的模拟电压信号转化为MCU能够处理的数字信号,并传送给MCU。(2) ADC0832转换的流程图如下列图4-2图 4-2数转换流程图4.3按键模块设计(1) 按键时显现人机对话的一个控制按钮,通过按键的操作,对系统进展发送操作指令,后经与MCU串行通信,然后在液晶上显示。. >.(2) 按键查询式的流程图如下列图:图 4-3 按键查询式的流程图4.4时钟模块设计(1) DS1302模块主要是用于设置时间和与MCU通信经LCD显示时间。(2) 时钟模块操作流程图如下列图:图 4-4时钟模块操作流程图. >.4.5LCD显示模块设计LCD模块在本系统中主要起着开界面汉字显示,以及各控制效果的显示。采用直接访问方式。液晶显示的操作流程图如下列图4-5:图 4-5液晶显示的操作流程图. >.5系统仿真5.1编译软件介绍Keil软件简介:单片机开发中除必要的硬件外,同样离不开软件,我们写的汇编语言源程序要变为CPU可以执行的机器码有两种方法,一种是手工汇编,另一种是机器汇编,目前已极少使用手工汇编的方法了。机器汇编是通过汇编软件将源程序变为机器码,用于MCS-51单片机的汇编软件有早期的A51,随着单片机开发技术的不断开展,从普遍使用汇编语言到逐渐使用高级语言开发,单片机的开发软件也在不断开展,Keil软件是目前最流行开发MCS-51系列单片机的软件,这从近年来各仿真机厂商纷纷宣布全面支持Keil即可看出。Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在的完整开发方案,通过一个集成开发环境uVision将这些部份组合在一起。运行Keil软件需要Pentium或以上的CPU,16MB或更多RAM、20M以上空闲的硬盘空间、WIN98、NT、WIN2000、WIN*P等操作系统5。5.2主程序#include <AT89*52.H> /调用外函数/#include <ctype.h>#include <string.h>#include <stdlib.h>#include <stdio.h>#include <math.h>#include <LCD.h> /*初始化CPU*/void init_cpu() /初始化cPuEA=1;. >.TR0=1;TR1=1;TMOD=0*11;TH1=0*3c;TL1=0*b0;/*void time1(void) interrupt 3 using 1TH1=(65536-50000)/256;TL1=(65536-50000)%256;keyval=P1; */初始化CPU完毕/void main_menu_initial() /LCD主菜单初始化./main1_menu0.menu_count=4; /有4个菜单项./main1_menu0.display=measurearray; /定义一个开场测量"数组/main1_menu0.subs=NULL;main1_menu0.children_menus=measure_menu;/当前菜单子菜单的指针main1_menu0.parent_menus=NULL; /还有"数据存储、"时间设置/void measure_menu_initial() /"开场测量菜单设置/ measure_menu0.menu_count=2; measure_menu0.display=qr; /开场测量函数, 确认. measure_menu0.subs=start_measure_function; /开场测量函数. >.measure_menu0.children_menus=NULL;measure_menu0.parent_menus=main1_menu;measure_menu1.menu_count=2; measure_menu1.display=q*; /开场测量函数, 取消. measure_menu1.subs=NULL; measure_menu1.children_menus=NULL;measure_menu1.parent_menus=main1_menu; /还有void store_menu_initial()、void time_menu_initial()/void led_menu_pro()ma*_item=menu_led->menu_count;switch(keyval)case 0: break;case 1: /向上键.if(user_choosen=0)user_choosen=ma*_item;shua*in=1;user_choosen-;break; /"向上"向下"确认"取消键/if(shua*in)/是否需要刷新LCD标志位. >.Clr_Scr(); shua*in=0;led_menu_show();void led_menu_show()uchar n;ma*_item=menu_led->menu_count;if (ma*_item>=4) /菜单项为3则表示为主菜单.for(n=0;n<4;n+)draw_bmp(n*2,20,96,0,menu_ledn.display);select_item(user_choosen); /标记出当前菜单项.elseswitch(temp_choosen)case 0:draw_bmp(0,20,96,0,measurearray); /"开场测量数组/break;default:break;for(n=0;n<ma*_item;n+). >.draw_bmp(n+1)*2,20,32,0,menu_ledn.display);select_item(user_choosen+1); void select_item(uchar n)draw_bmp(n*2,2,16,0,curflag); void start_measure_function(void) /开场测量函数/main_Menu();/*-主函数-*/main() init_cpu(); Init_Clock(); init_lcd(); Disp_Img(FirstPage);delay(2000); /延时/ClockMsg(); Refresh(); delay(2500); Clr_Scr(); main_Menu(); Clr_Scr(); main_menu_initial(); measure_menu_initial();. >. store_menu_initial(); time_menu_initial(); munication_menu_initial(); while(1) keyval=get_key(); /读键.led_menu_pro(); / 适当延时防止因为不断查忙而消耗大量CUP资源 /. >.6 结论目前,公共场所民用建筑室需要检测甲醛气体,同样建筑材料中甲醛含量也需要检测。由于甲醛含量超量的话,将对人体安康造成很大的影响。随着使用天然气的居民越来越多,天然气存在的潜性危害、天然气泄露也在一步步威胁着居民的身体安康,设计能够满足生活需要,携带方便的室空气质量检测仪迫在眉睫。针对目前的现状,该系统设计遵守体积小,质量轻,性价比高的原则9。室空气质量检测系统的设计主要分为硬件设计和软件设计。根据设计前对该系统所要实现功能的要求,综合考虑采用AT89C52单片机为控制核心。由于所学知识的限制,本系统实现的功能不是很健全 ,但在设计该系统的过程中,让我学会了系统设计的方法,和养成了系统思考的思维方式。首先要了解系统所要实现的功能;其次根据功能去选择相应的硬件资源;再次将一个大的系统进展模块化划分,然后逐一去攻破。最后把所有模块进展优化整合,便得到了一个完整的系统。基于这样的思路,我们共同完成了室空气质量检测系统的根本设计。同时由于本设计是采用在proteus上进展仿真,在keil软件上进展编程,最后二者联调,实现设计任务,但在仿真是无法实现甲醛传感器和MQ-5气体传感器的,因此本设计采用滑动变阻器模拟传感器检测甲醛和天然气时输出的模拟信号,经AD0832转换输入单片机。. >.致 本论文是在教师的悉心指导下完成的。祥龙教师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风,朴实无华、平易近人的人格魅力对我影响深远。不仅使我树立了远大的学术目标、掌握了根本的研究方法,还使我明白了许多待人接物与为人处世的道理。我的毕业课题是室空气质量检测系统的设计,是一个实际的小工程。作为一个本科生,我对实际的工程设计认识不够,经历缺乏,难免在设计的整体框架中,有很多的细节没有考虑。我们的指导教师:祥龙教师并没有指责,而是给予我们鼓励和很多珍贵的建议,并且悉心引导,给予我一个比拟清晰的设计思路。我们沿着这条经历之路,不断地尝试摸索,慢慢地也掌握了设计的根本流程和思考的方法。我们遇到了很多的难题,比方硬件器件的选择,功能的实现等。然而这样的问题并不是我一个能所能解决的,幸运的是有我们的指导教师的悉心指导和小组伙伴的全心帮助,所以一个个看似复杂的问题便迎刃而解。 最后我还要再次深深地感祥龙教师,正是基于祥龙教师的悉心指点和大家的全心的帮助,我才能比拟顺利地完成毕业设计。你们!. >.参考文献1 何立民. "MCS-51系列单片机应用设计"、系统配置与接口技术.2 郭强"液晶显示应用技术" :电子工业,2000年3 新民."智能仪器原理及设计" 工业大学,1995。4 华成英. 童诗白. "模拟电子技术根底第三版" : 高等教育, 2004.4.5 马忠梅等."单片机的C语言应用程序设计" :航空航天大学,19976 刚 林凌 王焱 编著 新概念单片机教程. *大学,2004年7 爱华."单片机高级语言C51应用程序设计"M.工业,1999。8 何立民. "MCS-51系列单片机应用设计"、系统配置与接口技术.9 arek,H.P.Trah,Y.Suzuki.Sensors for AutomotiveechnologyM,2004.10 R.C.et al.Dynamic Multi-sensor Data FusionSystem for Intelligent Robotics and AutomationM,1998. >.论文原创性声明本人以信誉声明:所呈交的毕业论文是在导师的指导下进展的设计研究工作及取得的成果,论文中引用他她人的文献、数据、图件、资料均已明确标注出,论文中的结论和结果为本人独立完成,不包含他人成果及为获得科技学院或其它教育机构的学位或证书而使用其材料。与我一同工作的同志对本设计研究所做的任何奉献均已在论文中作了明确的说明并表示了意。毕业论文作者签字:签字日期: 年 月 日. >

注意事项

本文(基于单片机的气体检测系统设计..)为本站会员(ph****6)主动上传,装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知装配图网(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!