欢迎来到装配图网! | 帮助中心 装配图网zhuangpeitu.com!
装配图网
ImageVerifierCode 换一换
首页 装配图网 > 资源分类 > DOC文档下载
 

EDA---电子钟的设计

  • 资源ID:205625907       资源大小:157KB        全文页数:28页
  • 资源格式: DOC        下载积分:20积分
快捷下载 游客一键下载
会员登录下载
微信登录下载
三方登录下载: 微信开放平台登录 支付宝登录   QQ登录   微博登录  
二维码
微信扫一扫登录
下载资源需要20积分
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

EDA---电子钟的设计

电子设计自动化ED课程设计 题目 电 子 钟 设 计 专业 电子信息科学与技术 班级 * 学号 * 姓名* 目录一、设计目的和规定1.1设计目的21.2设计规定二、模块划分三、功能简介2四、各模块源代码31分频模块5闹钟模块53.跑表模块63.毫秒代码6.秒代码3.3分代码7.4跑表顶层代码4.扫描模块5选择模块5.1三选一代码1152键选择模块26时钟模块1分秒代码136.2小时代码33时钟顶层代码147. 顶层代码五、R we.7六、引脚锁定和下载测试1.引脚锁定182.下载测试18七、局限性及改善18八、心得体会.9参照文献20一、设计目的和规定1.1设计目的 1、更加纯熟掌握本学科知识,更加理解VHD的设计。 、进一步掌握电子电路的一般设计措施。 3、掌握层次化设计、元件例化和顶层设计概念,理解模块之间的连接。 4、培养提出问题,独立分析问题,认真解决问题的能力。.2设计规定设计一种电子时钟,规定可以显示时、分、秒,顾客可以设立时间。 扩展功能规定:跑表功能,闹钟功能,调节数码管的亮度。 选择-系统的电路模式7;数码管8左边的跳线选择CLOS。二、模块划分分频模块、时钟模块、闹钟模块、跑表模块、显示模块、选择模块三、功能简介 1、本电子钟使用分频器将1H提成1H、00H、00HZ分别用来用于时钟正常走时,跑表正常跑表和扫描电路扫描数码管。2、 时钟模块:分为时、分、秒三个模块,时采用4进制,分秒采用60进制。1驱动秒正常走时,当9秒时,秒显示变为0,同步产生进位,驱动分开始计时,当5分时,分显示变为0,同步产生进位,驱动时开始计时,当2:59:59时,时钟复位。并通过键可调节时间。3、 跑表模块:分为分、秒、毫秒三个模块,使用0H频率驱动毫秒开始计时,当9s时,毫秒清零,产生进位驱动秒开始计时,以此类推。4、 闹钟模块:顾客可通过键设立闹钟时间,当时钟达到闹钟时间,扬声器响起,时间为一分钟,顾客也可以通过键自行关闭。5、 显示模块:采用5000Z的频率驱动扫描电路,通过扫描电路,将时钟输出显示在数码管上。同步可以调节数码管亮度。6、 按键阐明:键7是用来选择三个显示模式,初始时选择为电子表,按一下显示闹钟,再按一下显示跑表;键8、键5和键4是用在表或闹钟的模式下调节电子表和闹钟的小时和分钟;键1用于选择电路的时钟信号,用来控制调时时的单一性变动;键和键5在跑表的模式下则用作复位键与暂停键;键4在跑表的模式下可用于调节亮度。四、各功能源代码1.分频模块LBRARY IEE;USE EEE.STD_LOGC16.AL;USE IEESTD_LOICNIGNEDALL;ET FEPINISPRT(C: TLGI;-时钟信号,10Z CLK1:OUTTD_LOC;-1HZ输出 CLK0H:OUT T_OGIC;-10H输出 000H:TDLGI);-5000HZ输出ND;ARCHITECTONE EIN ISSGAL TM1,TEM2,EMP3:SD_LIC;SIG COUT:INTERRAG 0 TO 4999999;INALCOT_2:INTG ANGE TO 9999;IALT_:ITEGER ANG 0 TO 99;GINRE1:PRESS(CL) -1HZ分频 BEGIN I CLK'EVEN AN C=' HEN F COT_1<49999 TN CO1<CU_11; ELSECO_1<=; TE1<=NOT TE1; ED ; EN IF; EN PROS EX1;R2:PROCES(CLK) 0HZ分频 E IFCENT ANDLK='THN IF CUT_499HEN COT_2<U_2+;ELCOUT_0; TEMP2<=OTTM2; EN F; ND F; ND PRCSSX2;R:PRCESS(CL) 50H分频 BEI IF CLKEVENT ND L'1' HEN COUT_<99HEN COUT3<=O_3+;ELECU_3<=0; TE3=NOT TE3; D ; EN IF; ENDPCE X3; CLK1H<=P1;LK0H<=TMP2;CLK50H<=TEM;DON;2.闹钟模块LRARY E;US EEEDOIC164.;USEIEESDLOGIC_UNIDALL;ENTAOHOG IS OR(CL,S_SE,E,cnK:INSTDLOGIC;- 闹钟分、时选择、调节 _O, _OT1:IN STDOGIC_VEO(7 DONO 0);-闹钟的分、时输入 NZS_O, NZF_OUT :INU SD_OGI_VECOR(7 DWNTO0); PEAKERT:UT T_LOC);-扬声器NDEITY;RCHECTE OE FNAOHONG ISSIGAL : INEERANGE 0TO6000;SIGNAL,FS:STDGI_VECTO(7OWNT 0);BEGNON:OCESS(S_SE,F_S,coK) BEGN I S_E'1'HEN -闹钟调时 IF cnKVNT ANDcnK'1'HEN IF SS( ONTO)<"01" ENSS(3 DOWNO 0)S(3 DOWNO0)+1; ELSE S(3 DOWNT 0)<="0000"SS(7DWNTO 4)<S( DONT 4)+1; E F; F(7 DON 4)="0" AND S( OWTO 0)"01 THEN SS( ON 4)<="0000";( TO 0)<="000" ENDI; EIF; EDI; IFF_S='1' THEN -闹钟调分 coK'EEN A cnK='1'THEN IF(3 OWNO 0)"1" THENF( OO 0)<=FS(3DOWNT 0)1; ELSEF(3 DOWNTO0)<="000";F(7 DWNTO 4)<=FS(7TO 4)+; ENF; IF(7 DOTO 4)="0101" A S(3 DNT 0)="00" E S( DONT )<="0000;(3DOTO 0)<=00" ND IF; END IF; D IF; END POCESO; NZS_T=S;NZF_U<=FS;COM:PROCS(BUT1, BM_UT1,NZ_O,ZF_OUT) BEN I B_OUT1Z_OT D BM_OUNZ_OUTHEN SPAKRO<=CLK; END IF; EN PROCES OM;EG:RCES(CK) -扬声器频率定义 EG IF CK'VT AND LK=1'TN IFL60 TH L<=CL+1; ELSEL<=0; ND IF; N I; ENOCESS R;EDNE;3.跑表模块.1毫秒代码LIBARY IEE;EIETD_LGI_16.LL; EESTD_LI_UNSGED.L;ENTY haomo IS OT(CLK,RST,E: STD_OGC; -时钟信号、复位和使能 B_CO:OU OGI;-进位端 BOUTS:OTSTD_LC_VECOR( DOWTO 0); HB_O:UT SD_LGIC_VECTO(3DWNTO)); -高下位输出ED NTITY;ARcIEURE NEF homiaISIGAL S,G:SLOCCTOR( OWNTO );-高下位信号SIGNA :SD_LOGIC;-进位信号BENROCS(C,ST)BEII RST='THEPS"00"P<="0000" IF CLEVENT AND CL=HEN N1HEN G<"001 THENPG<PG1; EE G<=0" S=PS+1;C<=''; IFP="11 ANDP"1001" THE <0000;G<="00";CO<=1'; ED ; EN I; ENIF;END F;END POC; HB_<=P;B_UG<=PG;HB_CO<CO;ED OE;.秒代码IBRY IE;U IE.SD_LOGIC_114.AL;USEIEEE.TD_OGIUSIDALL;ENTITY mia IS PORT(C,R,EN:N TDLOGC; _CO:OUTD_LOGI; M_OUS:OUT STDLOGICVETR(3TO 0); MOUG:OT T_OIC_VECTOR( DOWNTO 0); ND ENTIT;AcHITT N O iaoSSIG PS,PG:SD_LOGI_VECOR(DN );SIGAL C:STD_LOIC;BGRCES(C)BNIFRST='1'HEN PG<"0;PS<="0;EFCLK'EVNTAN CL=1'HEN IF PG<"1" THN P<=G+; ELSE G"000" S<=S+1;C='0; P="11AND PG=1001HNP="000;PG<"0000"C<='' E IF; ENIF;EN F; PROCESS; M_O<P;_UG=P;M_O<=C;EN O;.分代码LIBRY IEE;USEIEEE.SD_LOI_1ALL;UEIEEE.STD_LGC_SGNEALL;ENT enI PORT(LK,RT,EN:IN STD_GC; F_OUTS:OT STDLOGIC_VECO(DTO); F_OUT:OT TDLOGIC_VECTOR(3 OWTO 0);DIY;cHITECT ONE OFen ISSIGAL PS,G:STD_LOGIC_ECTR(3DOWNT 0);SIGNAL CO:STDOIC;BEGINROCS(LK)BEGNRS=1' THENG<="000"<"00"ELSI 'ENT NDLK='1'EN IFG01 HE<=P+1; EE PG<=000"; P<PS+1; F PS="010" ANDPG1001 EN PS"000;G="000" D ; N F;ND IF;ENDROCS; _OTS<P;FO<=PG;N ONE;3跑表顶层代码IBAY IEE;SIEESD_LOGI_164.ALL;USE EEEST_OGIC_NSIGNEAL;TIY PAOBIO IS PT(LK,ENP,RP:IN STD_LOGC;-跑表时钟、使能、复位 PM_T:OUST_LOIC_VTOR( DOWNTO0);-分钟输出 BM_UT:O S_LOI_VETO(7DOWNTO);-秒输出 BH_U:OUTST_IVETO(7 DOWNT 0));-毫秒输出EDENITY;ARcHITECTUREOE O PABIOMPNENOMIA -毫秒声明 ORT(CL,RS,EN:I ST_OGI; B_CO:OUT STOGC; HB_OU:OU STD_LICVETOR(3OWO0); HB_OUTG:OU SDLOIC_ECTOR(3DOWTO ); D OPNT;COPEAO -秒声明 PT(CK,R,N:IN STD_LGIC; M:UTSTD_G; M_S:OU STD_LOGIC_VCTR(DOWNTO 0); M_G:OT STD_OGIC_VECTO( NO 0); E OMPOENT;CMPOET E -分声明PORT(CLK,RS,E:I SD_LOIC; F_OUTS:U STD_OGIC_VECR(3 OWTO 0); F_OUG:T STLGI_VCOR( DWNTO0));D OMPNENT; GN HS,M:STDLOC;-进位信号BEIU1:HAOMIA ORTMA(CL>LKP,N=>P,T=>RTP,HBO>MS,HUS=>PBHOT( DWTO ),HB_OT=>PB_OUT( DNO 0);U2:MIORTP(KHMS,EN>E,ST=STP,M_CO=S,M_OUS=PM_UT(7DT4),_OTG=>_OT(3 NT 0));U3: PORT P(CLK>MS,N=>ENP,RSTRTP,F_OUT=>PMOU(7 DOWO 4),F_OUG=>PM_(3 DOWNO 0));EONE;4扫描模块LARIEE;SE EEESTD_OGIC14.AL;SE EE.S_LOGIC_NNED.ALL;ETY aomiISORT(L,LDSE,_:N TD_GI; SS,SMF,MM:N ST_OGI_ECTOR(7 downto 0); DK: UST_OGIC_VCTOR(6 dwnto 0); -段控制信号; K: OU ST_LOIC_ETOR(7o 0);-位控制信号;ENDETITY;RCTECTUREONE soao I SIGNL SM:SD_LGIC_ECTO(2DONT );-扫描信号;SIGAL A: SLGIECTR(3 DOWNO 0);-外部输入信号SIGNAL LIGT:SD_L;SIGNAL CO:TD_LOGI_VET(1 DOO);SIGA CUNT_CLK,CUT_L,CONT_CL3:NTEGER RANGE 0 TO ;SIGALD_TS:STD_LOG_ECTOR( DOWTO 0);BEGMP1:PRCESS(CL) BEN IF CK'EVENT AND CLK=1' THEN IFM<"111" HE M<=SM+1; ELE SM<="000" ND I; ENDIF; ENDPROCESMP1;MP2:PROCESS(SM) GI S SM HEN 000 =>WK<="000001;<=SMS(7downt ); WHEN"0" => W<000"LIGH""I<SS( wnto ); WHE "10">WK=000&LIG&"00"_N<="1010" WEN "11 WK<"000"&IGT"000"A_IN<=F(7 downto ); WHE"00" WK<="000GHT00;AIN=SMF(3downo0); W "01 K<="0"&LGHT&0000;A_IN<="10; WHEN "10" WK<=0"LIGH"00"A_I<M(7 downo); WHE "" =>KLIG&000000"_N<MM(3 dowto ); END CAS; ENDOS MP2;P3:PRES(A) -译码电路 BEN CS AI H 0000 =>DK <=111111" WHEN"001 DK <="000010 ; WHEN 00"> DK ="1011011; WEN"0" => K <="100111"; WEN010" > D ="1100110"; WHEN 0101"= DK <=11111 ; WEN "1" DK <=11" ; WE "0111 > DK <"000111" 自己填写 WHOTHERS NL; D AE; END ROESS P3;M4:PROES(D_SETS,L_K) GN IF L_SET ="10"H I L_KEVN NDLD_K''THEN CUN=ONT+1; ND I;ND IF;E PROCMP; M5:OCES(,LK) -选择频率以实现亮度变化EGINF CKVET ADC='1 HEN CACUNT ISN"0 => IGH<=1;HEN "1" => IFCONT_2 THEN IGHT<='1 ;COUCK1=0;ELSELIGHT<= ;UNT_K1UT_CL11;END IF;WHE""=> IFCOUN_CK2= THEN GHT<' ;COUNT_LK<0;ELSE LIGHT'0' ;CON_CLK2<=COUNT_CL2+1;ND ;WHE "11=>F COUNT_CK3=6TNLGHT<=' ;ONT_CLK3<=0;EE LHT<='0 ;CONT_L3=ONT_CLK+1;ED F;ND AS;END IF;EN PROESMP5;P6:POCESS(LET)BEGINIF LSE'VENT AN _'' TE LSTS< TEN LD_E<=LD_SET+1; SELD_TS<0" END IF;ND IF ;END RS MP;ND NE; 5.选择模块5.1三选一代码LIY EE;USE IE.ST_LOGC_1164LL;USEIEEESTLOGICUNSIGNED.A;NTITY UX IS ORT(CL:INTD_OGI;-时钟信号,接选择键键7 DH,M,S:INTD_LOC_VETOR(7DOWNO );-电子表时、分、秒输入 N,NM:INT_LGICOR(7 DOWTO 0);闹钟时、分输入 PF,PM,P:INSTD_LOC_VCTR(7 DWNTO0);-跑表分、秒、毫秒输入 P1,P2,P:OUSTD_LG_VECOR(7 OWNT 0));-选择输出ENDTIT;RICTUON O MUX1 ISSGA 1,X,X3:SD_LGICECTR(DOWN);SINL Q: INTEGER RAN 0 TO 2;-内部选择信号EGI PRESS(CK)NIF CLKVENTANDCLK=''HN < THEN Q=1;EE Q<=0;NIF;ENI;CAE QIS EN0 >1=DH;2DM;X3<DS; WN 1 =X1=NH;2=NM;X="00000;N2=>X1PF;X<P;X3<PH;ECAE;ND RCES;11;P2X;P=3;ED ONE;5.2键选择模块LIBRARY IEE;SE E.TD_LIC1164AL;SE IEEE.STDLOIC_UNSIEAL;ENIT ANES PORT(LK:NSTDOI;-时钟信号,接键1 NIN8,NIAN:INTD_LOGI; NH_SE,NMSE:OU STD_OGIC;-电子表时分设立 S_SET,NF_SE:OT STD_LC);-闹钟时分设立E ETIY;ARCHTECTUR NE OF UEIIGNAS :INTEERRG 0 TO ;AL N,NM:STDLOGIC;-电子表时分设立信号IN S,NF:SD_LOIC;-闹钟时分设立信号EGI p1:ROCSS(CK) EGINIFCK'EET AND CLK=1'TEN IF S< THENS<=S+1; ELE S0; D F; D F;ENDPROSS p;p2:ress(s) ben CASESS WHEN 0=>NH<ANJIN8;<=ANJIA;'NF<'0; HEN 1> NS<=IAN;F=I;H<'0'NM<='' WHN2 NH<=';M='0'N='0;NF<='E OTHE => NULL;ENCSE; ndroce 2;NH_ET<=NH;NMSET<=NM;NENS;F_ST<=F; ONE;6.时钟模块6.分秒代码LIRAY IEE;USE IEEETD_LGIC_1164AL;SE IEE.ST_LOIC_NSGND.AL;ENIY MS60VIS PORT(LK:I TDOGIC; MS_CO:OT T_LOGC; MS_UTS:OUTT_OICVECTO( DWNTO 0); SUTG:OUT STLOC_ECTO(3 DONTO 0)); END NTY;ARCIECUR O MS60V SGNAL S,P:TD_LOCECTR(3 DOWNO0);SINAL O:T_LGIC; -内部高下位进位信号BINPROCESS(CLK)BEGIN IF K'VENT ANLK= TH IFG<"100" TN G<=PG+1;C<''; -低位不不小于9时,自动加1,不进位 ES PG<="000" PS+;CO<=''O<='';-不小于时,自动清零,进位,高位加1 P="01AND ="0 NPS<"0000"PG=000"CO='1'; D IF; 当为9时,自动清零,并产生进位 END I; ND; D PROCES; S_OTS;MSOUTG<=;MS_CO=O;ENDONE;秒时钟CK为1,分时钟LK接秒进位端,Ms_Outs为分秒高位输出,s_u为分秒低位输出,S为分秒的进位。62小时代码LIRAY IE;EEE.TD_LGI_14A;SE IEEE.SD_LOGCUNSIGNDL;EIY HU24IS ORT(CK:INSTDIC; -L接分钟进位端 HOROU:UTSDLOGIC_VECTOR( DWNTO );-高位输出 OUTG:OU SD_GIVCTOR( DOWNTO 0));-低位输出D ETT;ARHIECURE SODO OUR_24SSIGAL P,P:STD_OGICVEOR(3 ONTO 0);BEI ROCES(CK) EI IFCEVNTN CLK'1' TH IFPG<"100" THENG=PG+1; -低位不不小于9时,自动加 ELSE PG"0";PS<S; -不小于9时,高位自动加 END F; IFPS="010" AND G="00 HENP=000"P<="000" END IF; -当时,自动清零 EN IF; E POCESS; HR_OUTS=PS; UR_UTG<=PG;N OND;6.3时钟顶层代码IBY IEE;UEIEESD_LGIC1AL;USE IE.DLGIUNGNE.A;NTIY dnziia IS PR(CLK:I SD_LOG; -时钟信号; bhSET,M_SET:ITDLOGIC;-钟的小时与分钟设立; _K:I TD_LI; -钟的小时与分钟调节 BHOUT:OU STDLOIC_VECOR( NT 0);-小时输出; B_OUT:OT SDLOGIC_ECOR(7 DOWNTO );-分钟输出; NH_OU:OUT S_LOICVTOR(7 OWNTO 0);-秒表输出:ED ETITY;RCITURONEF dizibaoISCPONETS0V -分秒声明;PORT(K:IST_LO; S_O:UT ST_IC; MS_UTS:OUT ST_LOGIC_VCO(3 DOWNT 0); M_UTG:OUTTLOGIC_VR(3DOW 0); DCOPNE;COMPNNT HR_24V -小时声明;PRT(C:IN TDLOGC; HURT:UTSD_O_VECTR(3DOWNTO 0); HR_UTG:OUT SDLOGIC_VECTR(3DWO 0)); COMPNET;SGA SML,MHL:T_LOGIC; -进位端连接信号SIGN IN_1,I,M: TD_GI; IGNA SIN_1,SM_2,MN: T_OGIC;BEGN* *SN_<=M N (Nh_ST);MN_2<=bh_ST AND _;SMN<=SM_ O SMN_2;U1:M6V RT AP(CLK>CLK,M_CO>SML,M_OS(3 DWTO 0)=NHOU(7 DWO4),MS_OU(3 DOWNT0)=NHOUT(3 DOWT0));2:MS0VPORT P(CK=>IN,M_CO=HL,MS_(3 DONO )=>M_OU( DWNTO4),UT( ONT 0)=B_O(3 DWNO );:OUR_4 P A(CLK=>SMN,HOR_OTS( DOWNTO )=>H_UT(7 DOWN 4),HOU_OU(3 DWT )=>B_OT(DONTO));END ONE;.顶层代码AR IEEE;SE IEEE.STD_I116.AL;SIEEE.STD_LOGINSINED.AL;NITY ZIZONG I PR(CLZ,JIN4,IN5,JIA7,IA8,JIN:IN STDLG;-时钟信号和控制键 CLOCKDK: OUT ST_LOGC_ECOR(6 WNTO 0);-段控制信号; COC_W:OT STDOC_VETOR( DOWN0);-位控制信号; SPAKE:UT LOGI);END ENTITY;CHER ONE F DIANIHNGISCOMPOET FEINIS -分频声明PORT(CLK:N ST_LGIC; LK1H:O TDLOGC; 0H:OT SLOIC; CLK5000H:O STD_LOC);ENDCOPONENT;CPONENT DIANZBIO -走时声明 OT(LKQ:I STD_LOIC;-走时的时钟信号; BH_SET,NSE:I SD_LOI;-走时的小时与分钟设立; B_K:I _LGIC; -走时的小时与分钟调节; H_OUT:OU D_OGIC_ECOR(7 OWTO 0);-走时的小时输出; BM_UT:O ST_LOI_ECOR(7 DOWNTO 0);-走时的分钟输出; N_T:UT STDLGIC_VECR(7 DOWT 0));-走时的秒表输出:EN CPONENT;COMOENT PAOBIO -跑表声明T(C,N,RS:NST_LOIC; PNM_UT:OUTSTD_LGCVECOR(7 WNTO 0);-跑表分控制 BO:TD_GI_VECT(7 DOWT );-跑表秒控制 H_OUT:OU STDOC_VECTOR(7 DOTO0));-跑表毫秒控制END COMPNNT;CMONEN NAOHON -闹钟声明POR(L,_SE,FSE,on : NTD_LOI; BH_UT1, _UT1:NSTD_LOC_ECR( ONO 0); ZS_OU,NZOUT :INOUTT_OGC_ECTOR(7OWNTO ); PEAKER_OT:OUT LGIC);EDCOMONEN;COMNE XUANZE S -键选择声明POT(LK:IN TDOGIC; ANJIN8,AJIAN:IN STD_GI; NH_ET,NMS:OUT STD_LGIC; NSS,N_E:OTSDOC);ENDOMONENT;COPONNT SAOI -扫描声明 PR( L,LDSET,DK:I STLOGIC; SM,S,SM:IN TD_OGIETO(7 DNTO 0); D:OTSTD_LOGI_VECTOR(6 DNTO ); -段控制信号; WK: OT SDOIC_ECTR(7 DWT 0);-位控制信号;ENCOPONET;COMPONT UX31A -三选一选择器声明;PORT(CLK: _LOGIC; DH,DS:IN TD_LOGIC_COR(7 OWT0); NH,NM:I STD_LOGC_VETOR(7 DOTO ); PF,P,PH:N TD_OI_VTOR(7 NTO ); P1,P2,P3:USTDLOGI_VTOR(7DONTO0);EN COMPNET;SGNBC,PB_CLK,SM_LK:D_LGIC;-分频模块与其她模块的CK连接信号GNA XZNH,Z_M,XZ_NS,XZ_NF:TD_LC;-选择与走时模块连接信号SGNAL D_IN,DM_I,DS:TLOC_VCOR(7 DOWNTO );-与走时模块连接信号SNALF_N,P,H_N: STD_LOGIC_VECTOR(DOWNTO );-与跑表模块连接信号SINAL N_I,NM_N,B_IN:SD_LOGIC_VETOR(7DOWNTO0);-与闹钟模块连接信号SIGAL 1,P2,3:STDLIC_VETO( OWTO 0);-扫描输入与三选一输出连接信号BEIU1:IN PO MA(CK=>K,CLK1>B_CL,CLK100=>CK,CL00=SM_CL);U:DIANZBIAOPRT MP(CKQ=B_CL,HSE=>XZ_NH,MSE>Z_NM,B_K=>JIAN4,H_UT>D_IN,OT>MN,N_OU>DS_IN);:PAOBIO ORT MP(CKP=>_CL,ENP=JIAN5,RSTP>JAN,PN_OTF_N,PM_OUT=>P_IN,B_UT=>H_IN);U4:AOG ORT MAP(CL>PBC,S_S=>XZS,FSE=>XZ_NF,co=>JIAN4,BH_UT=>D_N,M=_IN,NS_U=>NH_I,N_OU=>NMIN,SPEAKERT=>SPKR);U5:U3A PR *6:SOIAOPOTMP(CLK=>SLK,LD_ST=>JIN7,DK=>N4,DCLK_DK,WK=COK,SMS=>P1,MF=>P2,SM>P3);U7:XUAN ORTMP(CLK=>JA1,IAN8=>JAN8,ANIA5=JIN5,NH_ST=>XZ_H,NM_ET=>XZ_M,NST=>XZ_NS,N_SET=>_NF);ND NE;五、RL ve六、引脚锁定和下载测试1.引脚锁定2.下载测试选择W48EDA系统的电路模式7;数码管左边的跳线选择LSE。下载后会看到电子钟自动计数,通过键8锁定,键5选择,用键4可实现时、分的调节。按下键7,数码管显示闹钟模式,同走时部分同样,可自行设立闹钟时间。再按键,按下键5秒表开始跑表,再按键5跑表暂停,按下键秒表复位,按键4可调节数码管亮度。到此,电子钟所有功能得以实现。七、 局限性及改善1、 使用的按键太多,使实用性减少了。可通过代码的书写减少按键,使一种键承当更多的功能。2、 闹钟的声音太单一。可考虑加入几钟和弦音,以增长电子钟的人性化因素。3、可考虑在电子钟中加入整点报时功能,和显示星期功能。八、心得体会通过本次A课程设计,在这几天的日子里,可以说是苦多于甜,但是的确学的到诸多诸多的东西,设计过程中不仅可以巩固此前所学过的知识,并且学到了诸多在课本上所没有学到过的知识。通过这次设计,进一步加深了对DA的理解,进一步熟悉了软件运用和实验箱的使用,增强了自我动手能力,让我对它有了更加浓厚的爱好。特别是当每一种子模块编写调试成功时,心里特别的开心。但是在编写顶层文献的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,

注意事项

本文(EDA---电子钟的设计)为本站会员(积***)主动上传,装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知装配图网(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!