欢迎来到装配图网! | 帮助中心 装配图网zhuangpeitu.com!
装配图网
ImageVerifierCode 换一换
首页 装配图网 > 资源分类 > DOC文档下载
 

八路智能竞赛抢答器设计课程设计-学位论文

  • 资源ID:203032260       资源大小:981KB        全文页数:30页
  • 资源格式: DOC        下载积分:10积分
快捷下载 游客一键下载
会员登录下载
微信登录下载
三方登录下载: 微信开放平台登录 支付宝登录   QQ登录   微博登录  
二维码
微信扫一扫登录
下载资源需要10积分
邮箱/手机:
温馨提示:
用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
支付方式: 支付宝    微信支付   
验证码:   换一换

 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

八路智能竞赛抢答器设计课程设计-学位论文

八路智能竞赛抢答器设计课程设计任务书学生姓名: 专业班级: 通信0706班指导教师: 工作单位: 信息工程学院 题 目: 八路智能竞赛抢答器设计初始条件:要求完成的主要任务: 1、抢答器电路组成及工作原理2、定时器电路组成及工作原理3、报警及时序控制电路组成及工作原理4、仿真结果分析时间安排:第20周,安排任务(鉴3-302,1.14星期一上午14节)第20-21周,绘图仿真设计(鉴主13楼计算机实验室1)第21周,完成(答辩,提交报告,演示) 指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日武汉理工大学数字电路多路智能竞赛抢答器课程设计说明书目录摘 要11. 抢答器的功能要求21.1基本功能21.2扩展功能22. 方案论证与比较22.1方案一:采用数字电路32.2方案二:采用单片机32.3方案三:采用PLC42.4方案比较43.总体设计原理与各部分单元电路的设计53.1数字抢答器总体具体方框图53.2各部分单元电路的设计63.3完整电路图设计94电路调试94.1抢答器电路94.3秒脉冲发生电路114.4时序控制电路124.4报警电路134.5整体电路调试145仿真结果分析155.1抢答电路仿真155.2定时电路仿真155.3总体电路仿真166心得与体会187参考资料198附录:电路中的元件介绍208.1 优先编码器74LS148208.2译码器74LS48218.3同步十进制可逆计数器74LS192238.4 555芯片25摘 要该抢答器主要是基于 7 4系列集成芯片组成电路各个部分,成本较低,且基本能够使用于学校的一些活动中。采用74LS148编码器和74LS279 RS锁存器组成抢答器的核心部分抢答电路。采用74LS192十进制加/减计数器设计抢答器的定时部分,计数器的时钟脉冲电路提供。采用555定时器和三极管构成报警电路,时序控制电路由74LS121产生。采用七段共阴极LED数码管显示抢答序号和定时时间,由74LS48数字显示译码管显示数码管。本文介绍了一种采用数字电路制作的多功能数显抢答器,它除了具有基本的抢答功能之外,还具有定时报警的功能,和数显的功能,当抢答开始后,系统会自动倒计时,并且时间是可以预设的,期间有人抢答的话系统会停止计时,如果期间没人抢答,系统会有短暂的报警,提示抢答结束。Abstract The device is based mainly on the Number 7 4 series of integrated circuitchips , which are in the lower cost, and be available to some of the school activities.The core of the Answer circuit is composed of 74LS148 and 74LS279 RS encoder latch. 74LS192 increase the use of metric / Answer by counter design's timing of the clock pulse counter circuit to provide. Using 555 timer and alarm circuit transistor constitute, timing control circuit is generated by the 74LS121. Seven-Segment LED cathode using a total of digital display and serial number Answer regular time, figures from the 74LS48 decoder digital tube display. In this paper, a digital circuit using a number of significant production Answer multi-functional device, which in addition to the Answer with the basic functions, but also has a regular alarm functions, and several significant features, when the start Answer, the system will automatically countdown, and can be pre-set time period if it was Answer system will stop the clock, if no one Answer period, the alarm system will be short-lived, suggesting that the end Answer.1. 抢答器的功能要求1.1基本功能设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。1.2扩展功能 抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如20s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时蜂鸣器发出声响。 参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。2. 方案论证与比较制作抢答器可以用好多的方法,可以用单片机来完成,它的功能强大制作简单,并且外围的元件也很少;也可以用PLC来实现,他的制作也是比较简单;还可以用我们学过的EDA技术来制作;最后也可以用数字电路来实现,它的原理比较简单,集成块的价格也比较便宜且很容易购买,与我们学完的数字电路联系紧密,能将我们所学知识用于实际,对巩固所学知识有重要意义,用了一些成型电路,如NE555标准秒脉冲电路等,使总体方案易于实现。2.1方案一:采用数字电路时抢答器的总体框图如图2-1它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。抢答按钮优先编码电路锁存器译码电路译码显示主持人控制开关秒脉冲产生电路控制电路报警电路定时电路译码电路显示电路主体电路扩展电路图2-1数字电路构成的抢答器原理方框图图2-1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;扬声器发出短暂声响,提醒节目主持人注意;控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。2.2方案二:采用单片机此电路完成的功能如图2-2所示,当主持人宣布抢答开始的时候,按下开始按钮,此时电路进入抢答状态,选手的输入采用了扫描式的输入,之后把相应的信息送往单片机,再由单片机输出到显示输出电路中。此时有人第一按下相应的抢答按钮,经过单片机的控制选择,在八段显示器上显示相应的号码,并锁存,同时禁止其他按钮的输入。显示输出抢答输入输出所存单片机控制控制输入图2-2单片机电路构成的抢答器原理方框图2.3方案三:采用PLC此电路的功能如图2-3示,当主持人打开启动开关后,在设定时间TO 内,如果某组抢先按下抢答按钮,则驱动音效电路发出声响,指示灯LI亮,并且在8段数码管显示器上显示出抢答成功的组号,此时电路实现互锁,其他组再按下抢答按钮为无效;如果在时间T0,无人应答,则驱动音效电路发出声响,指示灯L2亮,表示抢答者均放弃该题;在抢答成功后,主持人打开限时开关SW2,启动计时器,在设定的时间TI 内回答有效,当到达设定时间TI时,驱动音效电路,指示灯L3亮,表示答题时间到。显示输出抢答输入PLC控制控制输出控制输入图2-3PLC构成的抢答器原理方框图2.4方案比较表2-4方案对比方案比较数字电路单片机PLC制作难度低一般一般实现难度一般低低价格低一般高电路原理简单一般一般设计难度简单高一般通过上面的方案比较,数字电路的制作方案比较容易实现,并且在原理方面也是比较简单,所以我选择采用第一种方案来完成抢答器电路。3.总体设计原理与各部分单元电路的设计3.1数字抢答器总体具体方框图抢答按钮优先编码电路74LS148主持人控制开关时序控制电路报警电路NE555秒脉冲产生电路NE555同步计数器74LS192显示电路(七段显示器)译码电路74LS48单稳态触发器74LS121二进制/BCD编码转化电路锁存器74LS279译码电路74LS48显示电路(七段显示器)图3-1数字抢答器具体方框图3.2各部分单元电路的设计3.2.1抢答器电路设计该部分电路要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键,使其操作无效。选用优先编码器 74LS148 和 RS 锁存器 74LS279 可以完成上述功能,所组成的电路图如下所示。这个电路的工作原理过程:当主持人控制开关 S 置于"清零"端时,RS 触发器的 R非端均为0,个触发器输出端 (Q4Q1)全部置0,于是 74LS48 的 BI的非0,显示器灯灭,抢答器处于禁止工作状态;74LS148的选通输入端 ST 的非=0,使之处于工作状态,此时锁存电路不工作。当主持人把开关 S置于"开始"位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端的信号输入,当有选手将键按下时(如按下 S5),74LS148 的输出Y2Y1Y0的非=010,YEX的非=0,经 RS 锁存后,CTR=1,BI的非=1,74LS279处于工作状态,Q4Q3Q2=101,74LS48 处于工作状态,经 74LS148 译码后,显示器显示为""。此外,CTR=1,使74LS148 的 ST 的非为高电平,74LS148 处于禁止工作状态,封锁其他按键的输入。当按键松开即按下时,74LS148 的 YEX 的非为高电平, 但由于 CTR 维持高电平不变,所以74LS148 仍处于禁止状态,确保其他的输入信号不会被接收。这样就保证了抢答者的优先性以及抢答电路的准确性。当优先抢答者回答完问题后,由主持人将开关重新置“清除”,电路复位,以便再进行下一轮抢答。图3-2抢答器电路的设计图3.2.2 定时电路设计由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器 74LS192 进行设计。图3-3可预置时间的定时电路设计图3.2.3报警电路设计由555定时器和三极管构成的报警电路如图3.3所示。其中555构成多谐振荡器,振荡频率 fo143(RI2R2)C (公式3.2.3)其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。图3-4报警电路设计图 3.2.4时序控制电路设计抢答器控制电路是抢答器设计的关键,它要完成以下三项功能:主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。图3-5时序控制电路设计图图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端 。工作原理是:主持人控制开关从"清除"位置拨到"开始"位置时,来自于74LS279的输出 1Q=0,经G3反相, A1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则"定时到信号"为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答键时,1Q1,经 G3反相, A0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能的要求。当定时时间到时,则"定时到信号"为0, 74LS148处于禁止工作状态,禁止选手进行抢答。同时, 门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。3.3完整电路图设计图3-6定时抢答器的整机电路4电路调试 4.1抢答器电路图4-1抢答器电路调试图如图,该电路实现两个功能:一是能够过分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码器显示电路显示编号;二是禁止其他选手之后按键无效。工作过程:当开关处于清除端时,74LS279的RS 触发器段均为0信号,所以四个触发器端均输出为0,此时ST为0,根据74LS148功能表可以看出,该芯片处于工作状态。当开关达到“开始”端时,抢答器处于等待工作状态,当有选手按下键时,及有一个输入端为低电平,根据74LS148功能表可知,Y1,Y2,Y3处于一种输出状态,EO输出为0,所以1Q输出为1,74LS48处于工作状态。根据2Q,3Q,4Q的输出,译码器将显示第一个按下键的选手号码。并且此时1Q=1,使74LS148的ST=1,所以74LS148处于禁止工作状态,封锁了其他键的再次输入。因为只有8名选手,编号从0000到0111,所以74LS48 芯片的A3端不需要用到,所以可以直接置地。如果没有选手按键的话,七段显示译码器就会一直不亮,直到最后此次比赛结束。抢答器部分仿真如下,当主持人按下开关可实现清零,再次按下开关开始抢答,如选手1按下,数码管显示1并且其他选手无法再次抢答。仿真结果验证了抢答部分电路的设计成功。4.2定时电路图4-2定时电路调试图如图所示,分为两个部分,一是秒脉冲,由555定时器构成的多谐振荡电路,根据公式振荡周期为T=0.7(R1+2R2)C,可计算出该振荡器的振荡周期为1秒,由于是矩形脉冲,所以一个周期内发光二极管会发一次光。另一部分是可预置时间的减计数器,对于预置端可以采用十进制8421BCD码设置,当555振荡器发出一个脉冲时,74LS192的CP-端就接受一个信号,在cp脉冲的上升沿预置数就开始自减,当各位减少到0时,BO2就会输出一个负脉冲,cp2-就会开始减少1,然后74LS192二开始再自减1,直到预置数最后变成00,最后在BO2输出一个周期的负脉冲。在时间未到时,BO2一直到是输出正脉冲,除非最后变成00时,才会输出负脉冲,这就可以作为定时到的信号,如果是时间到了,输出是零,时间不到,输出是1。另外,假如有选手按键的话,则最后不会计数器不会因为自减为00而最后输出负脉冲,而是应为秒脉冲输出与1Q的非相与后当作脉冲输入74LS192的。当没有选手按键时,1Q的非为0,所以cp脉冲就会停止输入,时间就会停止,所显示的时间就是该选手抢答的时间,但此时的定时到信号还是1。定时部分电路仿真图如下,又555定时器构成的多谐振荡器输出频率为1Hz,作为计数器的脉冲源,定时显示可实现20秒定时,此部分设计成功。4.3秒脉冲发生电路图4-3 555电路构成的多谐振荡器该报警电路有555定时器和三极管构成,有555定时器构成一个多谐振荡器,其输出信号可以经三极管推动扬声器。PR为控制信号,当PR为高电平时,4端接高电平,振荡器正常工作,当为低电平时,振荡器停止工作,不会发声。因为来一个高电平是该报警电路会发声,但是只有在一个周期内发声,这个周期非常短,只是多谐振荡器的一个周期,只有一秒钟,所以中间需要加一个单稳态触发器,根据555构成的单稳态触发器的功能原理,这样可以延长这个周期,是发声信号加长,该定时器用到的电阻是30 k,电容是100uf,根据公式计算得到的周期是3秒。图4-4脉冲发生电路产生的脉冲波形4.4时序控制电路图4-5时序控制电路调试图图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端 。图八的工作原理是:主持人控制开关从"清除"位置拨到"开始"位置时,来自于图六中的74LS279的输出 1Q=0,经G3反相, A1,则时钟信号CP能够加到74LS192的CP时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则"定时到信号"为 1,门G2的输出ST =0,使 74LS148处于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答键时,1Q1,经 G3反相, A0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 ST=1,74LS148处于禁止工作状态,从而实现功能的要求。当定时时间到时,则"定时到信号"为0,1Q =1,74LS148处于禁止工作状态,禁止选手进行抢答。同时, 门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间,其工作原理如下:当主持人将开关拨到开始键时,S信号从0变成1,是上升的,但是定时到信号还是1,Yex由于74LS148已经开始工作所以为0,所以根据74LS121功能表可以看到PR会有一个高电平输出,所以扬声器就会发出声音。在最后结束时候,如果没有选手按键但是时间已经结束,这时候S是1,Yex因为没有选手按键而为1,而又因为定时到信号而又有一个负脉冲输入,所以PR会输出一个正脉冲,从而使扬声器发声。假如有选手按键,Yex会从1变成0,定时到信号还是1,所以最后也会有一个正脉冲输出,扬声器也会发声的。 4.4报警电路图4-6报警电路调试图该报警电路有555定时器和三极管构成,有555定时器构成一个多谐振荡器,其输出信号可以经三极管推动扬声器。PR为控制信号,当PR为高电平时,4端接高电平,振荡器正常工作,当为低电平时,振荡器停止工作,不会发声。因为来一个高电平是该报警电路会发声,但是只有在一个周期内发声,这个周期非常短,只是多谐振荡器的一个周期,只有一秒钟,所以中间需要加一个单稳态触发器,根据555构成的单稳态触发器的功能原理,这样可以延长这个周期,是发声信号加长。如果该定时器用到的电阻是30 k,电容是100uf,根据公式计算得到的周期是3秒。4.5整体电路调试图4-6整体电路调试图整体仿真图如图4-6,可实现如下功能:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。定时器倒计时20秒,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。5仿真结果分析5.1抢答电路仿真在时刻,开关置向“清零”一端时,芯片的=0,处于工作状态,但锁存器芯片处于封锁状态,抢答电路不工作。在时刻,控制开关再次置向“开始”端,芯片的端为高电平,处于等待工作状态。在时刻,有抢答者抢答,则使芯片的=1,处于封锁状态。其后有抢答者抢答,都是无效的。使能端控制开关有抢答,74LS148芯片使能端置一封锁其他抢答开关开始开关清零图5-1 抢答电路仿真波形5.2定时电路仿真控制开关从“清零”端拨向“开始”端,定时电路开始倒计时。此后经过芯片产生的30个脉冲后,到达I时刻(即无人抢答的情况),有高位芯片端产生的借位输出信号(低电平)把芯片产生的的脉冲封锁,从而减计数停止。同时置一选手也无法抢答。555定时器产生的脉冲30秒到开关74LS148芯片端被置一I图5-2定时电路波形仿真有选手抢答74LS192计数脉冲停止图5-3抢答电路波形仿真5.3总体电路仿真芯片接收脉冲芯片使能端接收信号图5.3.1 总体电路波形仿真在时刻,控制开关由“清零”端拨向“开始”端,芯片处于工作状态,芯片开始倒计时。当有人抢答时(时刻),芯片和芯片的触发脉冲被封锁,则其他抢答者不能再抢答,显示器上显示抢答者的编号和抢答时刻(时刻)距最后时刻的时间,并一直保持到系统清零为止。6心得与体会数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了抢答器的基本原理后,很快的设计了电路原理图。7参考资料1电子技术基础(数字部分) 第五版 康华光 主编 高等教育出版社2电子线路设计.实验.测试 第三版谢自美 主编 华中科技大学出版社3 姜书艳,数字逻辑设计及应用,北京:清华大学出版社 20074 历雅萍、易映萍编,电子技术课程设计,机械工些出版社 20065 彭介华主编 ,电子技术课程设计指导,高等教育出版社 20068附录:电路中的元件介绍8.1 优先编码器74LS148编码器在同一时刻内只允许对一个信号进行编码,否则输出的代码会发生混乱。优先编码器既在同一时间内,当有多个输入信号请求编码时,只对优先级别高的信号进行编码的逻辑电路,称为优先编码器。常用的集成优先编码器有74LS148(8线3线)和74LS147(10线4线)两种制式。优先编码器是较常用的编码器,下面以74LS148为例,介绍它的逻辑功能。此芯片为8线3线优先编码器。图8-1(a)是其功能简图,图8-1(b)是管脚引线图,表8-1是其真值表。图8-1 74LS148引脚图表8-1 74LS148真值表74LS148的输入端和输出端低电平有效。图8-1(a)是其功能简图,图中电源和地未画, 是输入信号,为三位二进制编码输出信号,1时,编码器禁止编码,当0时,允许编码。是技能输出端,只有在0,而均无编码输入信号时为0。为优先编码输出端,在0而的其中之一有信号时,0。各输入端的优先顺序为:级别最高,级别最低。如果0(有信号),则其它输入端即使有输入信号,均不起作用,此时输出只按编码,000。优先编码被广泛用于计算机控制系统中,当有多个外设申请中断时,优先编码器总是给优先级别高的设备先编码。8.2译码器74LS48把输入的二十进制代码转换成十进制数码各段驱动信号的电路称为显示译码器。图8-2为七段显示译码器74LS48的引脚排列图。图8-2 七段显示译码器74LS48引脚排列图其中为译码器的输入信号,为译码器的7个输出,为译码器的灯测试输入,为译码器的消隐输入/灭零输出,为灭零输入。表333为七段显示译码器的真值表。表8-2七段显示译码器的真值表十进制 输 入 输 出或功能 O l2345678 9 101112131415 ll11 1 1 1l 1lll1ll110 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 1 0 1 1 00 1 1 11 0 0 01 0 0 11 0 1 0l 0 1 11 1 0 01 1 0 1l 1 1 0l l l l1 l1 lllll111l 1l11 1 l 1 1 l 1 0 0 1 l O 0 0 01 1 0 1 1 0 ll l l l 0 0 l0 l l 0 0 l l1 0 l 1 0 l l0 0 1 1 1 1 11 1 1 0 0 0 0l l l l l l ll 1 l 0 0 l l0 0 0 1 1 0 10 0 1 1 0 0 10 1 0 0 0 1 1l 0 0 1 0 l l O 0 0 1 1 1 10 0 0 0 0 0 0 消隐脉冲消隐灯测试 10 0 0 0 0 0 0 0l0 0 0 0 0 0 0 0 0 0 0 0 0 0 l l 1 l l l 1根据白哦8-2七段显示译码器74LS48的真值表,简单介绍三个功能端,和的工作情况。灯测试输入:当且时,无论状态如何,输出YaYg全部为高电平,都可使被驱动数码管的七段同时点亮,以检查该数码管各段能否正常发光。利用这个功能可以判断显示器的好坏。消隐输入:也称灭灯输入。为消隐输入,当=0时,无论及输入为何值,所有各段输出均为低电平,显示器处于熄灭状态。为灭零输出。灭零输入:可以按数据显示需要,将显示器所显示的0予以熄灭,而在显示19时不受影响。它在实际应用中是用来熄灭多位数字前后不必要的零位,使显示的结果更醒目。将灭零输入端与灭零输出端配合使用,很容易实现多位数码显示系统的灭零控制。8.3同步十进制可逆计数器74LS192十进制计数器品种很多,有十进制加法计数器、十进制减法计数器和十进可逆计数器,下面仅以74LS192同步十进制可逆计数器为例。介绍它的功能特点。74LS192是属8421BCD码,它的功能真值表如表3.3.1所示。从表3.3.1可见: 是异步清零端,且高电平有效。是并行置数端,低电平有效,且在有效。和是两个时钟脉冲,当,时钟脉冲由端接入。并且时,74LS192处于加法计数状态;当脉冲从端输入,且时,74LS192处于减法计数状态;时,计数器处于保持状态。是进位端,是借位端。表8-3 74LS192计数器真值表计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。图2-3是74LS192外引脚及时序波形图。图中、分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。是异步并行置数控制端(低电平有效), 、分别是进位、借位输出端(低电平有效),CR是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。工作原理是:当=1,CR=0时,若时钟脉冲加到端,且=1。计数器在预置数的基础上完成加计数功能,当加计数到9时,端发出进位下跳变脉冲;若时钟脉冲加到端,且 =1,则计数器在预置数的基础上完成减计数功能,当减计数到0时, 端发出借位下跳变脉冲。由74LS192构成的二十进制递减计数器,其预置数为N=(00110000)= (30)10。它的计数原理是 : 只有当低位 端发出借位脉冲时 , 高位计数器才作减计数。当高、低位计数器处于全零 , 且 为 0 时 , 置数端 =0, 计数器完成并行置数 , 在 端的输入时钟脉冲作用下 , 计数器再次进入下一循环减计数。图8-3 74LS192外引脚及时序波形图8.4 555芯片 NE555 图8-4 555芯片的引脚图表8-4 555芯片引脚功能引脚编号 符号 功能说明 1 地线 2 触发 3 输出 4 复位 5 控制电压 6 阀值 7 放电 8 电源 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V16V 工作,7555 可在 318V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 图8-5 555定时器基本原理图555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 8-5 和图 8-4 所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 ,555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。555定时器在抢答器中的应用有单稳态触发器和多谐振荡器。26

注意事项

本文(八路智能竞赛抢答器设计课程设计-学位论文)为本站会员(沈***)主动上传,装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知装配图网(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!