基于VHDL的节日彩灯控制系统设计说明

上传人:沈*** 文档编号:99427706 上传时间:2022-05-31 格式:DOC 页数:33 大小:5.13MB
收藏 版权申诉 举报 下载
基于VHDL的节日彩灯控制系统设计说明_第1页
第1页 / 共33页
基于VHDL的节日彩灯控制系统设计说明_第2页
第2页 / 共33页
基于VHDL的节日彩灯控制系统设计说明_第3页
第3页 / 共33页
资源描述:

《基于VHDL的节日彩灯控制系统设计说明》由会员分享,可在线阅读,更多相关《基于VHDL的节日彩灯控制系统设计说明(33页珍藏版)》请在装配图网上搜索。

1、 . . . 分类号密级 UDC毕 业 设 计基于VHDL的节日彩灯控制系统设计学生 王子正学号2 指导教师 王学玲 系(中心) 信息工程系 专 业电子信息工程年级2008级 论文答辩日期 2012 年 5 月 17 日 青 岛 工 学 院6 / 33基于VHDL的节日彩灯控制系统设计 完成日期: 指导教师签字:答辩小组成员签字:基于VHDL的节日彩灯控制系统设计摘 要随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品与系统开发的革命性变革。VHDL语言作为可编

2、程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。本次设计采用了自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在Quartus II环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品

3、向小型化,集成化的方向发展。论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。关键词: EDA技术; VHDL语言;CPLD芯片; 彩灯控制Based on VHDL festival lights control system designAbstractWith the development of science and technology in the modern life, holiday lights as a landscape decoration application field is more and more widely. With the dev

4、elopment of electronic technology, application system toward miniaturization, quickly, high capacity, light weight the direction of development, EDA and application of technology electronic products and system development caused by the revolutionary transformation. VHDLas a programmable logic device

5、s standard language description, and a strong ability of coverage, abstract, and a strong ability in the actual application of more and more widely, also make people can from heavy design work freed, improve the design efficiency, reduce product development cycles. The whole process through the EDA

6、tools automatically, greatly reduced design personnel working strength, improve the design quality and reducing the chance of error.The design has adopted the top-down design method, that is, from the demand of the whole system, since the earth to design task decomposition for different function mod

7、ule and will last the function module connected to top-level module, complete the integral design of the system hardware. This paper expounds the way technology based on EDA more lights controller design and analysis, and in Quartus II by VHDL implementation environment, out the CPLD for product dev

8、elopment can be flexible to module configuration, greatly reducing the product development cycle, also be helpful for products to miniaturization, integrated the direction of development. Based on VHDL and discusses the CPLD digital system design thought and realization process.Keywords: EDA; VHDL;

9、CPLD; Lights control目 录1 绪论11.1 课题背景11.2 EDA概述22 设计容和任务32.1 功能描述32.2 设计原理33 具体设计过程53.1 模块设计53.2 时序控制电路设计83.3 显示模块设计83.4 顶层模块设计94 系统的物理实现104.1 基于VHDL的系统实现104.1.1 时序控制模块仿真波形104.1.2 显示模块仿真波形114.1.3 多路彩灯控制仿真波形124.2 管脚连接对应表124.3 硬件实物图135 总结15参考文献16致17附录181 绪论1.1 课题背景VHDL(Very High Speed Integrated Circui

10、t Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述

11、,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革3。与传统设计方法相比,VHDL描述电路行为的算法有很多优点:(1) 设计层次较高用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期;(2) 独立实现,修改方便,系统硬件描述能力强;(3) 可读性好,有利于交流,适合于文档保存;(4) VHDL语言标准、规、移植性强;随着科学技术的发展以与人民生活水平的提高,在现代生活中, 彩灯作为一

12、种装饰既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽6。 用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以与层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED15.0,分别用于控制十六路彩灯。据此,我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路X

13、SKZ。当各个模块均完成上述操作之后,即可利MAXPLLUS2的原理图输入,调用各个元器件(底层文件),以原理图的形式形成最后的十六路彩灯显示系统(顶层文件),并且进行仿真。仿真通过,即可下载到指定的CPLD芯片里面,并进行实际连线,进行最后的硬件测试。当然,可以将各个模块所生成的元件符号存放在元件库中,以被其他人或其他的设计所重复调用,以简化后面的设计6。1.2 EDA概述EDA是电子设计领域的一场革命,它源于计算机辅助设计(CAD,Computer Aided Design)、计算机辅助制造(CAM,Computer Aided Made)、计算机辅助测试(CAT,Computer Aid

14、ed Test)和计算机辅助工程(CAE,Computer Aided Engineering)。利用EDA工具,电子设计师从概念、算法、协议开始设计电子系统,从电路设计、性能分析直到IC版图或PCB版图生成的全过程均可在计算机上自动完成。EDA代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线(PAR,Place And Route)、仿真与特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法

15、5。作为现代电子系统设计的主导技术,EDA具有两个明显特征:即并行工程(Concurrent Engineering)设计和自顶向下(Top-down)设计。其基本思想是从系统总体要求出发,分为行为描述(Behaviour Description)、寄存器传输级(RTL,Register Transfer Level)描述、逻辑综合(Logic Synthesis)三个层次,将设计容逐步细化,最后完成整体设计,这是一种全新的设计思想与设计理念1。2 设计容和任务2.1 功能描述随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在建筑物的适当地方一是作为装饰添加气氛,二是有一种广告宣传

16、的作用对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。这些控制装置均运用计算机技术、电子技术和声光技术,对被控灯光系统按设定的变化方案进行亮、灭灯控制,形成各种灯光图案,有时还配以和谐的音乐,达到令人叹为观止的光、声、色的综合艺术效果。在电路中以1代表灯亮,以0代表灯灭,由0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。本次设计的十六路彩灯控制系统设定有十六种花样变化 ,这十六种花样可以进行自动

17、切换。2.2 设计原理用VHDL进行设计 ,首先应该了解 ,VHDL语言一种全方位硬件描述语言 ,包括系统行为级 ,寄存传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下” 的设计优点以与层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的单元入手 ,逐渐构成庞大而复杂的系统2 。首先应进行系统模块的划分 ,规定每一模块的功能以与各个模块之间的接口。最终设计方案为:以一个十六路彩灯花样控制器、 一个四频率输出分频器 ,一个四选一控制器和一个时间选择器总共四部分来完成设计。四选一控制器从分频器选择不同频率的时钟信号输送到彩灯花样控制器 ,从而达到控制彩灯闪烁速度的

18、快慢 ,时间选择器控制每种速度维持的时间长短。整个十六路彩灯控制系统设计的模块图如图所示。1.设计一个多路彩灯控制器,十六种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即是可以选择快慢两种节拍。2.整个系统有三个输入信号,分别为控制快慢的信号OPT,复位清零信号CLR,输出信号是16路彩灯输出状态。系统框图如: 图2-1 系统总体框图3. 主要模块组成:时序控制电路模块和显示电路模块,时序控制电路是根据输入信号的设置得到相应的输出信号,并将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期,有规律的输出设定的十六种彩灯变化类型7。与其它硬件设计方法相比,用VHDL进行工程设计的优点是

19、多方面的:具有很强的行为描述能力,支持大规模设计的分解和已有设计的再利用,可读性好,易于修改和发现错误,可以使用仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题,以便与时处理。实现了设计与工艺无关,可移植性好,上市时间快,成本低,ASIC移植等优点4。3 具体设计过程3.1 模块设计时序控制模块:CLK为输入时钟信号,电路在时钟上升沿变化;CLR为复位清零信号,高电平有效,一旦有效时,电路无条件的回到初始状态;OPT为频率快慢选择信号,低电平节奏快,高电平节奏慢;CLKOUT为输出信号,CLR有效时输出为零,否则,随OPT信号的变化而改变。我们假设时序控制电路所产

20、生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟控制信号可以通过对输入时钟的计数来获得。当opt为低电平时,输出没经过两个时钟周期进行翻转,实现四分频的快节奏;当opt为高电平时,输出每经过四个时钟周期进行翻转,实现把八分频的慢节奏。显示控制电路的模块框图如图所示,输入信号clk和clr的定义与时序控制电路一样,输入信号led15.0能够循环输出16路彩灯16种不同状态的花型。对状态的所对应的彩灯输出花型定义如下:S0:00000 S1:10001S2:00010 S3:10011S4:00100 S5:10101S6:00110 S7:10111S8:010

21、00 S9:11001S10:01010 S11:11011S12:01100 S13:11101S14:01110 S15 :11111多路彩灯在多种花型之间的转换可以通过状态机实现,当复位信号clr有效时,彩灯恢复初始状态s0,否则,每个时钟周期,状态都将向下一个状态发生改变,并对应输出的花型,这里的时钟周期即时时序控制电路模块产生的输出信号,它根据opt信号的不同取值得到两种快慢不同的时钟频率11。图3-1 花型循环转变示意原理图循环彩灯的整体结构框图如下图所示:图3-2 循环彩灯整体结构图细化数据子系统结构的控制图如下图所示:图3-3 细化数据子系统结构控制图3.2 时序控制电路设计在

22、时序逻辑电路中,任意时刻的输出不但和当前输入有关,还与系统的原先状态有关,即时序电路的当前输出由输入变量与电路原先的状态共同决定。而在组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。因此为实现时序逻辑电路的设计目的,时序逻辑电路从某一状态进入下一状态时,必须首先设法“记住”原先的状态。故作为时序逻辑电路的基本特征,时序逻辑电路应具有“记忆”功能。因此在电路结构上,时序逻辑电路包含组合电路和存储电路两个组成部分,而存储电路必不可少。并且,在数字电路和计算机系统中,常用时序逻辑电路组成各种寄存器、存储器、计数器等,而触发器则是时序电路最常用的记忆元件10。图3-4 时序

23、控制原理图3.3 显示模块设计一个针振电路、一个复位电路、一个AT89C52单片机作为控制电路,P口接上拉电阻。(1) 单个LED显示:单片机的P1口与8个发光二极管的阴极相连,发光二极管的阳极接+5的电压源,通过对P1口的调节控制与其显示效果。(2) LED数码管显示:P3的三个接口通过74HC139与数码管的片选端相连,控制显示的块,P1口与74HC245驱动芯片相连,实现对数码管的数值输入。(3) 16*16点阵显示:点阵的列由P3口的低四位与74HC154(4-16译码器)相连,通过改变P3口低四位的值来实现对点阵列的控制,P0口接74HC245驱动芯片,控制点阵上半部分点阵的行,P2

24、口接74HC245驱动芯片,控制点阵下半部分的行,共同达到对16*16点阵行显示的控制9图3-5 显示模块器件图3.4 顶层模块设计图3-6 顶层模块原理图4 系统的物理实现4.1 基于VHDL的系统实现其仿真波形如下:4.1.1 时序控制模块仿真波形图4-1 时序控制模块仿真波形从图中可以看出,当复位信号为高电平时,电路时钟输出清零,当快慢信号opt为低电平时,时序控制电路四分频起作用,当快慢信号opt为高电平时,时序控制电路八分频起作用,仿真结果符合电路要求8。4.1.2 显示模块仿真波形图4-2 显示模块仿真波形当复位信号有效时彩灯输出为零,否则,显示电路在十六种不同状态间转换。4.1.

25、3 多路彩灯控制仿真波形图4-3 多路彩灯控制仿真波形从图中可以看出当opt为高电平时彩灯状态转换慢,为低电平时转换要快,当复位信号有效时,所用输出都清零。4.2 管脚连接对应表图4-4 管脚连接对应表4.3 硬件实物图图4-5 硬件实物图图4-6 硬件实物图5 总结我认为自己在这次设计中收获很多。刚拿到题目感到很无措,但自知这是课程要求,自己只能硬着头皮去做。到图书馆找资料上网查,刚开始可能是自己选择的方法不对找到的资料很少,所以自己又将课本译码器、数据选择器等一些芯片的组成和工作原理看了一遍,感觉当你带着目的去看书,就会有不一样的收获。在确定了所选元器件后,我和同伴进行分工,我进行的是控制

26、子系统部分相关的设计,而他则是数据子系统的相关设计。这样的分工合作让我受益颇丰,彼此进行交流会感到从对方那里学到了不少东西。由于是第一次使用对于有些功能还不是十分了解,对软件的应用不十分熟悉,使元件的排版和线路的布局不十分和谐。虽然在总体过程中进行的还算顺利但还是发现自己有许多的不足。我觉得自己对电子设计相关方面的了解所知道的真是太少了,在查资料时有时遇到的东西是自己从未听说过的,感觉自己就像个小虾在大海里游,所了解和知道的东西是那么的肤浅。总之,这次实习培养了自己独立分析问题和解决问题的能力以与培养创新能力和创新思维。还有通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行

27、,对于这些电路还是应该自己动手实际操作才会有深刻理解。参考文献1 松,黄继业.EDA技术实用教程M.:科学,2004.2 康华光.电子技术基础(数字部分)M.:高等教育,20043 马或,王丹利,王丽英.CPLD/FPGA 可编程逻辑器件实用教程M.:机械工业,2006.4 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计M:电子科技大学,2000.5 国丽,朱维勇,栾铭.EDA与数字系统设计M.:机械工业,2005.6 卢毅,赖杰.VHDL与数字电路设计M.:科学,2001.7 志,田永清,朱伯英.VHDL的设计特点与应用研究J.微型电脑应用,2002(10):5 8.8 周润景,图雅,

28、丽敏.基于Quartus II的FPGA/CPLD数字系统设计实例M.:电子工业,2007.9 吕晓兰.基于VHDL实现的16路彩灯控制系统J.电子技术,2007(2):57 59.10 斯蒂芬布朗(Stephen Brown),兹翁科弗拉希奇(Zvonko Vranesic).数字逻辑设计(VHDL)基础(Fundamentals of Digital Logic with VHDL Design)M.机械工业,2002.11 Henry Tulkens.Efficiency Dominance Analysis (EDA): Basic Methodology.M.2006.致 毕业论文的

29、完成,这意味着我在学校四年的学习生活即将结束,回首既往,自己一生最宝贵的时光能在这样的校园之中,能在众多学富五车、才华横溢的老师们的熏下度过,实是荣幸之极。在这三年的时间里,我在学习上和思想上都受益匪浅。除了自身的努力外,与各位老师、同学和朋友的关心支持是分不开的。论文的写作是枯燥艰辛而又富有挑战性的。老师的谆谆教导,同学的出谋划策和家长的支持鼓励,是我坚持完成论文的动力源泉。在此,我特别要感我的指导老师王学玲。从论文的选题,文献的采集,框架的设计,结构的布局到最终论文的定稿,她都费尽心血。没有王老师的辛苦栽培,谆谆教诲,就没有我论文的顺利完成。感电子信息工程专业的各位同学,与他们的交流使我受

30、益颇多。最后要感的是我的家人以与朋友对我的理解、支持、鼓励和帮助,正是因为有了他们,我所做的一切才更加有意义。也正是因为有了他们,我才有了追求进步的勇气和信心。时间的仓促与自身专业水平的不足,整篇论文肯定存在尚未发现的缺点和错误,恳请阅读此篇论文的老师指出,不胜感激!附录:时序控制电路设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity metronome is -定义实体port( clk: in std_logic; -时钟信号 clr: in std_logic; -复位信号 o

31、pt: in std_logic; -快慢控制信号 clkout: out std_logic -输出时钟信号 ); end metronome;architecture rtl of metronome issignal clk_tmp: std_logic;signal counter: std_logic_vector(1 downto 0); -定义计数器begin process(clk,clr,opt)begin if clr=1 then -清零 clk_tmp=0; counter=00;elsif clkevent and clk=1 then if opt=0 then -

32、四分频,快节奏 if counter=01 then counter=00; clk_tmp=not clk_tmp; else counter=counter+1; end if; else -八分频,慢节奏 if counter=11 then counter=00; clk_tmp=not clk_tmp; else counter=counter+1; end if; end if;end if;end process;clkout=clk_tmp; -输出分频后的信号end rtl;显示模块设计library ieee;use ieee.std_logic_1164.all;enti

33、ty output isport( clk: in std_logic; -输入时钟信号 clr: in std_logic; -复位信号 led: out std_logic_vector(15 downto 0); -彩灯输出 end output;architecture rtl of output istype states is -状态机状态列举(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);signal state: states;begin process(clk,clr)begin if clr=1then sta

34、te=s0; led state state=s2; led state=s3; led state=s4; led state=s5; led state=s6; led state=s7; led state=s8; led state=s9; led state=s10; led state=s11; led state=s12; led state=s13; led state=s14; led state=s15; led state=s1; led=11111; end case; end if; end process;end rtl;顶层模块设计library ieee;use

35、 ieee.std_logic_1164.all;entity cotop isport ( clk: in std_logic; clr: in std_logic; opt:in std_logic; led: out std_logic_vector(15 downto 0); -八路彩灯输出end colorled;architecture rtl of colorled is component metonome is -定义元件:时序控制电路 port( clk: in std_logic; clr: in std_logic; opt:in std_logic; clkout:

36、out std_logic);end component metonome; component output is -定义元件:显示电路port( clk: in std_logic; clr: in std_logic; led: out std_logic_vector(7 downto 0);end component output;signal clk_tmp: std_logic;begin u1:metonome port map(clk,clr,opt,clk_tmp); -例化时序控制模块 u2:output port map(clk_tmp,clr,led); -例化显示电

37、路模块end rtl;工学院毕业设计开题报告题 目基于VHDL的节日彩灯控制系统设计 系(中心) 信息工程系 年 级2008级 专 业 电子信息工程 姓 名王子正学 号2指导教师 王学玲 教务处制表2012年1月4日一、选题依据课题来源、选题依据和背景情况;课题研究目的、学术价值或实际应用价值课题来源、选题依据和背景情况:随着计算机、微电子、信息技术的快速进步,智能化技术的开发速度越来越快 ,智能度越来越高 ,应用围也得到了极大的扩展。在海洋开发、宇宙探测、工农业生产、军事、社会服务、娱乐等各个领域。在娱乐方面,场地的装饰离不开彩灯。在建筑方面也采用彩灯来装饰高楼大厦。彩灯又灵活多变的点亮方式

38、,装饰效果非常好,特别时晚上使得高楼大厦更加漂亮。是彩灯的应用才使得城市的夜景非常迷人。节日彩灯将会在人类未来的夜晚生活成为一个个重要的景观,节日彩灯控制器的应用也会在现实生活中得到广泛的应用。本设计通过对彩灯的设计,训练对电气、单片机、电子技术等容的应用能力,掌握对电子产品设计的流程以与各种要求。彩灯技术已广泛得在霓虹灯、广告彩灯、汽车车灯等领域中应用。单片机的控制电路的设计是彩灯应用的一个瓶颈。毕业设计主要培养学生综合运用所学的知识与技能分析与解决问题的能力,并巩固和扩大学生的课堂知识。通过毕业设计学会查阅、使用各种专业资料和网上资源,并以严肃认真、深入研究的工作作风完成设计任务,逐步向工

39、程技术员转变,培养毕业生独立完成任务的能力,体现和检验综合设计能力,大力提高毕业生的技术水平,培养新一代既有理论、又有动手能力的实用性人才,以适应国际建设和发展的需要。了解彩灯线路的基本理论,掌握单片机编程的基本设计方法和分析方法,对能够熟练地进行彩灯应用电路的设计与制作是十分必要和重要的。课题研究目的、学术价值或实际应用价值:梯形图语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。于是,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。整个过程通过P

40、LD自动完成,大大减轻了设计人员的工作强度,减少了出错的机会,并且提高了设计质量二、文献综述国外研究现状、发展动态;查阅的主要文献国外研究现状、发展动态: VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统

41、硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。查阅的主要文献1 松,黄继业。EDA技术实用教程M。:科学,2004。2 康华光。电子技术基础(数字部分)M。:高等教育,2004。3 马或,王丹利,王丽英。CPLD/FPGA可编程逻辑器件实用教程M。:机械工业,2006。4 侯伯亨,顾新。VHDL硬件描述语言与数字逻辑电路设计M:电子科技大学,2000。5 国丽,朱维勇,栾铭。EDA与数字系统设计M。:机械工业,2005。6 卢毅,赖杰。VHDL与数字电路设计M。:科学,2001。7 志,田永清,朱伯英。V

42、HDL的设计特点与应用研究J。微型电脑应用,2002(10):5 8。8 周润景,图雅,丽敏。基于Quartus II的FPGA/CPLD数字系统设计实例M。:电子工业,2007。9 吕晓兰。基于VHDL实现的16路彩灯控制系统J。电子技术,2007(2):57 59。10 斯蒂芬布朗(Stephen Brown),兹翁科弗拉希奇(Zvonko Vranesic)。数字逻辑设计(VHDL)基础(Fundamentals of Digital Logic with VHDL Design)M。机械工业,2002。11 Henry Tulkens .Efficiency Dominance Ana

43、lysis (EDA): Basic Methodology .M.2006.三、研究容1.论文(设计)构想与思路;主要容与拟解决的关键问题理解并掌握可编程序控制器(PLD)的基本工作原理与基本结构、PLD的运行方式、外部接线与编程方法,训练学生的独立编程能力与用PLD解决现场控制问题的能力。要求学生能根据现场控制要求,自主编程和调试程序,全面建立起用PLD解决一个实际问题的全过程的概念。(1).熟练编程软件的使用;(2).熟悉PLD的结构与实验设备的使用;(3).能熟练的使用PLD的基本逻辑指令与顺控指令编写顺控程序; (4).能用PLD的功能指令、程序控制指令、PLD指令等编写有模拟量控制

44、要求的程序。2拟采取的方案与可行性分析时序控制模块中,CLK为输入时钟信号,电路在时钟上升沿变化;CLR为复位清零信号,高电平有效,一旦有效时,电路无条件的回到初始状态;OPT为频率快慢选择信号,低电平节奏快,高电平节奏慢;CLKOUT为输出信号,CLR有效时输出为零,否则,随OPT信号的变化而改变。显示控制电路的模块中,输入信号clk和clr的定义与时序控制电路一样,输入信号led15.0能够循环输出16路彩灯16种不同状态的花型。在时序逻辑电路中,任意时刻的输出不但和当前输入有关,还与系统的原先状态有关,即时序电路的当前输出由输入变量与电路原先的状态共同决定。而在组合逻辑电路中,任意时刻的

45、输出仅仅取决于该时刻的输入,与电路原来的状态无关。因此为实现时序逻辑电路的设计目的,时序逻辑电路从某一状态进入下一状态时,必须首先设法“记住”原先的状态。故作为时序逻辑电路的基本特征,时序逻辑电路应具有“记忆”功能。因此在电路结构上,时序逻辑电路包含组合电路和存储电路两个组成部分,而存储电路必不可少。并且,在数字电路和计算机系统中,常用时序逻辑电路组成各种寄存器、存储器、计数器等,而触发器则是时序电路最常用的记忆元件。利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。可以通过手动键位或自动控制改变节日彩灯的亮灭,从

46、而改变彩灯的图案和光影效果。达到美化夜景的效果。四、论文(设计)进度安排起止时间主要容预期目标2011.12.2-2012.1.32012.1.4-2012.4.102012.4.11-2012.5.102012.5.11-2012.5.152012.5.16-2012.5.18课题准备阶段主要是进行本课题的相关资料的查询和收集,并仔细阅读和学习;与此同时,针对拟解决的问题进行开发平台和应用系统的可行性分析,完成应用系统的初步设计和总体规划。课题研究与系统开发阶段本阶段将进行可校时数字钟的编程实现,对系统界面进行具体设计,并进行软件的调试,形成较为完整有效的整体解决方案,为毕业论文的撰写作好充分准备。论文撰写阶段对本课题的工作进行总结、提炼,归集资料、确定大纲、完成草稿,并最终形成系统的完整的毕业论文。论文完善阶段对论文进行有针对性的调整修改,进一步完善课题的体系结构,丰富课题的容,并最终完稿,为毕业答辩做好充分的准备。论文答辩阶段为答辩做好充分准备。系统分析和算法理解软件设计和软件测试文档论文初稿论文定稿论文答辩五、审核意见指导教师意见指导教师签字:年 月 日审核小组意见审核小组成员签字:年 月 日注:1、表格不够可加附页。2、审核小组意见由开题领导小组填写。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!