毕业设计论文基于单片机的智能自动售货机系统电路设计

上传人:仙*** 文档编号:98144331 上传时间:2022-05-28 格式:DOC 页数:72 大小:1.61MB
收藏 版权申诉 举报 下载
毕业设计论文基于单片机的智能自动售货机系统电路设计_第1页
第1页 / 共72页
毕业设计论文基于单片机的智能自动售货机系统电路设计_第2页
第2页 / 共72页
毕业设计论文基于单片机的智能自动售货机系统电路设计_第3页
第3页 / 共72页
资源描述:

《毕业设计论文基于单片机的智能自动售货机系统电路设计》由会员分享,可在线阅读,更多相关《毕业设计论文基于单片机的智能自动售货机系统电路设计(72页珍藏版)》请在装配图网上搜索。

1、 毕业设计报告论文(2021届)题 目: 智能自动售货机系统电路设计 所 属 系: 自动化技术系 班 级: 电子0911 学 生 姓 名: 学 号: 同 组 成 员: 指 导 教 师: 目录摘要1关键词10 引言21 总体设计方案21.1 设计要求21.2 设计目标21.3 分析结果31.4 功能框图32 硬件设计52.1 检空装置52.2 推进装置62.3 报警装置133 软件设计203.1 主程序203.2 报警系统程序204 硬件方案整合224.1 其他单元电路224.2 总原理图23元器件清单234.4 PCB板235 软件方案整合255.1 选择系统程序255.2 投币系统程序255

2、.3 出货系统程序345.4 找零系统程序356 外观设计367 生产工艺文件368 总结40致谢42参考文献43附录1 电路中主要集成芯片引脚图及引脚功能说明44附录2 软件系统程序代码471 报警系统472 选择系统473 投币系统564 出货系统565 找零系统57附录3 总原理图59附录4 元器件清单及封装形式60附录5 工艺文件62摘要 本文设计了一种以AT89C51单片机为核心的自动售货机装置的控制系统。详细介绍了自动售货机系统的方案设计、硬件选择、软件编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原那么和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制

3、方式实现了对自动售货机全过程的自动控制。系统程序采用汇编及C语言软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的根本语言,具有容易理解,便于记忆和使用等特点。 关键词 智能自动售货机 AT89C51单片机 LCD液晶 控制系统0 引言随着经济开展,传统的固定地点人员售货方式暴露出许多弊端:人力资源需求大;受所需效劳地点,地理条件的限制;而且在效劳时间上不能最大限度的效劳于消费者。自动售货机的出现解决了这些难题。品种繁多,对生活的覆盖面广,自动售货,效率高,可24小时不间断运营;选址容易,且方便改换场地;适合全职经营,也可兼职副业经营;作为广告载体,可赚高

4、额外块。投资风险低,收益高。工作人员唯一所需要做的就是添加商品取走货币。如此多的优点使得自动售货机在世界范围内得到广泛的应用。智能自动售货机是以单片机为核心的自动化控制装置,它集按键选择技术、单片机技术和显示技术于一体,有功能强大、可靠性高、使用方便、维护简单等特点。因此,在商业、生活中得到了广泛的应用。有些智能自动售货机不仅能够自动识别1元、5角硬币,而且能够自动识别20元、10元、5元纸币,还会自动找零,人机对话的应用性提高使自动售货机在国内大面积普及成为可能。智能自动售货机越来越人性化的设计将会很快的占领中国市场。1 总体设计方案1.1 设计要求设计一台销售袋装小零食的自动售货机,具有硬

5、币识别、币值累加、自动售货等功能。根本要求:可识别5角、1元的硬币。并进行累加。有货物选择按键,根据选择自动出售对应货物。具有防盗报警功能。1.2 设计目标从自动售货机的开展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供应的条件看,自动售货机

6、可以充分补充人力资源的缺乏,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购置好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 1兴旺国家现状日本:各种自动售货机保有量超过600万台,平均20人一台,世界普及率第一。1990年通过自动售货机实现的销售额即已到达5兆日元约3000亿人民币。美国:总的机器保有量大致和日本相当,2000年通过自动售货机实现的销售额到达250亿美元,其中OCS(Office Coffee Service的销售额排第六位。 韩国:自动售货机的使用历史要远短于其它兴旺国家,仅有25 年,但据2001 年

7、的统计,总保有量已超过80万台。在2001年韩国生产的自动售货机中OCS占32.7%,排第一位,咖啡自动售货机占 18.1% ,排第三位,仅次于18.6% 的充电自动售货机。瓶罐饮料自动售货机和复合型自动售货机同机销售瓶罐饮料和热咖啡都仅占 8.6%,并列第四位。2国内现状保有量约2万台,且品种单一,主要以瓶饮料售货机为主,技术质量还未完全过关,生产和运营本钱较高,收益也不高。导致此现状的主要原因是中国有关的自动售货机企业未能有效解决本钱,质量,运营等诸多问题。但由于随中国经济的快速开展和社会的巨大进步,自动售货机的实际需求已相当大。1.3 课题分析从自动售货机的开展趋势来看,它的出现是由于劳

8、动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供应的条件看,自动售货机可以充分补充人力资源的缺乏,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购置好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。1.4

9、 功能框图智能自动售货机的功能框图,如图1所示,该框完整的表现出智能自动售货机的每一个功能模块,从框图中也可以看出我们的整体思路,在此思路中,我主要负责储存货物模块的制作与设计,其中包括了储存箱体、物品支架、推进系统、检空装置以及报警系统。同时也和同组人员一起负责出货模块与外观的设计以及控制核心的编程与设计。在我负责储存货物模块的同时,也和同组人员积极配合,及时反应各自的信息与进度,保证我们各模块之间的衔接,使我们的工程顺利完成。 储存货物投币出货储存箱体物品支架推进系统硬币存储箱检测识别硬币自动累加自动返还投入存储硬币找零假币返还选择装置显示装置选择按钮出货口出货箱显示币值显示余额检空装置报

10、警系统外观自主设计广告植入步进装置自动售货控制核心供电系统 图1 智能自动售货机的功能框图2 硬件设计在此次设计中,我主要负责储存货物模块中的检空装置、推进装置和报警装置的设计。2.1 检空装置 方案一:安装接触行程开关售完检测系统,在自动售货机商品存储存道下方安装有接触行程开关,当存储存道有商品时,压下行程开关,自动售货机正常工作;当商品出售完毕时,行程开关被释放,向CPU发出无货信号,经过检测通过串行扩展接口向相应的售完指示灯发出信号,使机身“售完指示灯亮,此种商品自动停售,即使投币金额到达该道商品预设价格数值,可售按钮仍然无法启动信号,金额累计存储器也不清零。 方案二:红外线对射在自动售

11、货机内最后一个商品的两侧,放置红外线。当有商品时,商品挡住红外线使其无法对射,自动售货机正常工作;当商品出售完毕时,红外线对射,向CPU发出无货信号,经过检测通过串行扩展接口向相应的售完指示灯发出信号,使机身“售完指示灯亮,此种商品自动停售,即使投币金额到达该道商品预设价格数值,可售按钮仍然无法启动信号,金额累计存储器也不清零。 方案三:眼观法售货机的正面橱窗采用有机板窗,机玻璃板有极佳透明度:无色透明有机玻璃板材,透光率达92%以上,有优良的耐候性:对自然环境适应性很强,即使长时间在日光照射、风吹雨淋也不会使其性能发生改变,抗老化性能好,在室外也能安心使用。加工性能良好:既适合机械加工又易热

12、成型,压克力板可以染色,外表可以喷漆、丝印或真空镀膜。优异的综合性能:压克力板品种繁多、色彩丰富,并具有极其优异的综合性能,为设计者提供了多样化的选择,压克力板可以染色,外表可以喷漆、丝印或真空镀膜。无毒,即使与人长期接触也无害,还有燃烧时产生的气体不产生有毒气体。 自动售货机前体的透明有机板窗相当于商店橱窗或者柜台,商品展示、明码标价以及商品有无都可以清晰明了的展示出来,无论是对管理人员还是消费者都是简洁方便的,如图2所示。图2 自动售货机正面橱窗 检空装置方案选择三种方案放在一起比拟,综合考虑,选用方案三作为检空装置。既一目了然,又在设计的过程中简单方便。2.2 推进装置 方案一:步进电机

13、推进采用步进电动机控制,步进电动机的精度很高,可实现精确的步距角运动,由其组成的位置控制系统定位准确,稳定时间短,采用单片机控制步进电动机,控制信号为熟悉信号,不再需要数/摸转换,具有快速起停功能,延时短、定位准确、精度高和可操作性强。与驱动控制器匹配使用时,控制起来也十分方便,很容易构成数字位置控制系统。但步进电动机的控制系统相对复杂,价格比直流电动机高。本设计是由单片机直接控制,步进电机可以直接接受的数字信号不需要数/模转换,具有快速起停功能,延时短、定位准确、精度高和可操作性强。与驱动控制器匹配使用时控制起来方便,在销售移动距离较小的商品的时候不会产生误差。步进电机又叫脉冲电机,它是一种

14、将电脉冲信号转化为角位移的机电数模D/A转换器。在开环数字程序控制系统中,输出控制局部常用步进电机作为驱动元器件。步进电机的控制电路接受计算机发来的指令脉冲,控制步进电机作相应的转动。很明显脉冲的总数决定了移动量,指令的频率决定了移动的速度。因此,指令脉冲可否被可靠的执行,根本上取决于步进电机的性能。三相步进电机结构图,如图3所示。图3 三相步进电机结构示意图步进电机的工作就是步进转动。在一般的步进电机工作中,其电源都是单极性的直流电源。要使步进电机转动,就必须对步进电机的定子的各项绕组以适当的时序进行通电。步进电机的步进过程表示为,其定子的每相都有一对磁极,每个磁极都只有一个齿,即磁极本身,

15、故三相步进电机有三对磁极一共是6个齿;其转子有4个齿,分别称为.3齿直流电源U通过开关A,B,C,分别对步进电机的A,B,C,相绕组通电。初始状态时,开关A接通,那么A相磁极和转子的0,2 号齿对齐,同时转子的1,3号齿和B,C相磁极形成错齿状态。当开关A断开,B接通,由于B相绕组和转子的1,3号齿之间的磁力线作用,使得转子的1,3号齿和B相磁极对齐,那么转子的0,2号齿就和A,C, 相绕组形成错齿状态。此后,开关的B断开,C接通,由于C相绕组和转子的0,2之间的磁力线的作用,使得转子0,2号齿和C相磁极相对齐,这时转子的1,3 号齿和A,B相绕组磁极产生错齿。当开关C断开,A接通后,由于A相

16、绕组磁极和转子1,3号之间的磁力线的专用使转子1,3号齿和A相绕组磁极对齐,这时转子的0,2号齿和B,C 相绕组磁极产生错齿。很明显,这时转子转动了一个齿距角。如果对一相绕组的操作称为一拍,那么对A,B,C三相绕组的轮流通电需要三拍。对A,B,C,三相轮流通电一次成为一个周期。从上面分析看出,该三相步进电机转子转动一个齿距需要三拍操作。由于按ABCA相轮流通电,那么磁场沿A,B,C方向转动了360度空间角,而这时转子沿ABC方向转动了一个齿距的位置。在图一中,转子的齿数为4,故齿距角为90度,转动了一个齿距就是转动了90度本次设计采用的是三相步进电机型号是36BF003属于三相步进电机,工作电

17、压的标称值是27V,相电流的标称值是,保持转矩是78Mn。36bf003矩频特性,如图4所示。图4 36bf003矩频特性步进电机与单片机接口,如图5所示。图5 步进电机与单片机接口8051PA0PA1PA2PB2PB0PB1驱动电路驱动电路X轴步进电机Y轴步进电机选定由PA口的PA0,PA1,PA2,控制x轴的三相步进电机, PB0,PB1,PB2通过驱动电路控制y轴三相步进电机,并假设数据输出为“1”时,相应的绕组通电;当“0时,相应的绕组断电。使用集成功率放大开关器件构成的斩波型功放电路:集成功率电子开关TWH8751 可直接由TTL,CMOS等数字电路直接驱动,该器件开关速度快,工作频

18、率高可以到达1.5MHZ,控制功率比拟大,内部开关管反向击穿电压为100v,加上散热器后,通过的灌电流可以到达3A,其输出管采用集电极开路方式,可以根据负载的要求选择适宜的电源电压,片内还没有热减流保护电路。TWH8751的引脚及外观如图6所示,Vi,Vo分别为信号的输入端和输出端,V+为正电源的输入端,GND为接地端,St为 选通控制端,该器件为数字逻辑开关,不是模拟开关。当Sr为高电平“1”大于1.6V时,不管Vi端的电平为多少 其输出级的达林顿管总是截至。当St端为低电平不超过1.2V时,输出V0受Vi的控制,当Vi为高电平输出级的达林顿管截止:当Vi为高电平“1”时应加限流电阻Rs,因

19、片内电源与地之间设有一个6.8V的稳压管,Rs 的值可以按照(VCC-6.8V)/10mA进行估算。由于输出级的达林顿管的反向击穿电压可以到达100V,所以输出级可以不与V+共电源,而是根据需要加80V100V的高压于负载上。图6 TWH8751引脚及外观图图中只给出了驱动A相绕组的功放电路,B,C相的驱动电路与之相同,该电路的工作原理是 :环形分配器的输出信号A送到TWH8751的输入端Vi ,NE555振荡器产生频率较高的载频脉冲信号 ,送到选通控制端St ,因此,TWH8751 处于高频开关斩波工作状态,其输出端Vo为间歇脉冲序列,故称为斩波型驱动电路,如图7所示。各点的波型如图8所示。

20、图7 斩波型功放电路 图8 A相波型绕组中电流il的大小与电流Vcc和高频脉冲序列的脉宽Ton有关,当Vcc较大的时候,I 较大,当Ton较宽的时候i会增大。载频脉冲频率fc的选取是比拟重要的。当fc比拟小的时候,电时机发出很大的噪声,一般选取fc=15MHZ为适宜的。单片机与步进电机的接口电路设计:8255是单片机应用系统中广泛被采用的可编程外部I/O扩展芯片。它有3个8位并行I/O口,每个口有三种工作方式。三个并行I/O端口:A口可编程为8位输入,或者8位输出,或者双向输出:B 口可编程为8位输入,或者8位输出,但是不可以双向传输;C口分为两个4位口,用于输入或者输出,也可以用作A口,B口

21、的状态控制信号。图9 步进电机外观图这个三相步进电机的市场价格约为650元,步进电机的外观图如图9所示。 方案二:直流电机推进直流电机结构复杂、本钱高、运行维护困难,但是直流电机具有良好的调速性能、较大的启动转矩和过载能力强等许多优点,因此在许多行业仍大量应用。近年来,直流电动机的机构和控制方式都发生了很大的变化。随着计算机进入控制领域以及新型的电力电子功率元器件的不断出现,采用全控型的开关功率元件进行脉宽调制Pulse Width Modulation,简称PWM已成为直流电机新的调速方式。本设计是要利用89C51单片机控制PWM调速电路实现小功率直流电机的转速调节。而本系统必须符合以下几点

22、要求:1在(10005500)转/分内对直流电机进行任意调速,最小调速级差为1转/分。2所设速度下稳定运转,运转速度与设定速度之差小于20转/分。3启动和加减80%额定负载时,其转速能迅速回到设定值,转速超调5%内。本设计在硬件电路上共分3个局部,单片机局部、调速驱动电路、检测电路。1.单片机局部及其接口电路,如图10所示。图10 单片机局部原理图在第9脚-RST,复位脚外,加了一个复位按键和复位电路,复位原理为:第一次上电时,+5V通过给电容充电,于是此时电容相当于短路,+5V直接加到RST脚上,单片机自动复位。过了极短的时间,电容充电完毕,此时电容那么相当于开路,于是RST脚被电阻拉为低,

23、单片机开始正常工作。当RESET键按下时,+5V通过按键加到RST脚上,单片机复位,RESET键弹起之后,RST脚重新被电阻拉为低,单片机开始正常工作。单片机供电方面,采用的是12V直流通过7805三端稳压器稳压到5V,给单片机和显示局部供电。电机调速控制模块有三种方法可供选择:方法一:采用电阻网络或数字电位器调整电动机的分压,从而到达调速的目的。但是电阻网络只能实现有级调速,而数字电阻的元器件价格比拟昂贵。更主要的问题在于一般电动机的电阻很小,但电流很大;分压不仅会降低效率,而且实现很困难。方法二:采用继电器对电动机的开或关进行控制,通过开关的切换对小车的速度进行调整。这个方案的优点是电路较

24、为简单,缺点是继电器的响应时间慢、机械结构易损坏、寿命较短、可靠性不高。方法三:采用由达林顿管组成的H型PWM电路。用单片机控制达林顿管使之工作在占空比可调的开关状态,精确调整电动机转速。这种电路由于工作在管子的饱和截止模式下,效率非常高;H型电路保证了可以简单地实现转速和方向的控制;电子开关的速度很快,稳定性也极佳,是一种广泛采用的PWM调速技术。兼于方法三调速特性优良、调整平滑、调速范围广、过载能力大,因此本设计采用方法三。PWM调速工作方式:单极性工作制。单极性工作制是单片机控制口一端置低电平,另一端输出PWM信号,两口的输出切换和对PWM的占空比调节决定电动机的转向和转速。单极性工作制

25、电压波开中的交流成分比双极性工作制的小,其电流的最大波动也比双极性工作制的小。PWM调脉宽方式:调脉宽的方式有三种:定频调宽、定宽调频和调宽调频。我们采用了定频调宽方式,因为采用这种方式,电动机在运转时比拟稳定;并且在采用单片机产生PWM脉冲的软件实现上比拟方便。PWM软件实现方式:采用软件延时方式,这一方式在精度上不及方案一,特别是在引入中断后,将有一定的误差。但是基于不占用定时器资源,且对于直流电机,采用软件延时所产生的定时误差在允许范围。调速驱动电路,如图11所示。图11 调速驱动电路电路图3.检测电路检测电路原理图,如图12所示。图12 检测电路电路图采用直流电动机控制,直流电动机精度

26、较低,不易实现精确的位置控制。用单片机和A/D转换构成系统,控制普通电动机的步数和旋转方向,可以考虑达林顿管组成的H型PWM电路。用单片机控制达林顿管使之工作在占空比可调的开关状态,精确调整电动机转速,减小因惯性、速度和步距角过大而引起的调整误差。其控制信号为模拟信号,需要将单片机输出的序列脉冲转换,延长了控制时间,将难以控制其精确位置,系统稳定性也比拟差。但使用方便,价格廉价市场价格约为500元,直流电机外观图,如图13所示。 图13 直流电机外观图 方案三:采用软件控制在本设计中,设置了价格分别为2元、3元、4元不等的货物,一共3种。在通过按键选择物品后,将会把产生的信号输入给89C51的

27、P1的引脚上,89C51接收信号后,经过处理后,将会在货物输出端产生与其相对应的信号输出,控制利用商品的自重将其推出。本次设计用P1.0P1.2作为货物的输出端,在货物的输出端采用光电隔离技术,对于光电隔离技术这里不做介绍,在这里用一个LED指示灯代替货物的掉出情况,假设有货物掉出那么对应输出口为高电平,那么指示灯亮,反之指示灯不亮。由于这里P0口作通用的I/O口输出,所以必须接上拉电阻。采用软件编程方便简单、本钱低,易于控制。 推进装置方案选择推进装置的三种方案,从本钱、功能实现、效率、操作难易、以及精度等方面,综合考虑,我选择方案三软件控制作为该设计的推进装置。2.3 报警装置 方案一:振

28、动报警设计一种利用振动传感器检测环境变化,进而完成报警功能的系统,该系统方便、稳定。振动报警框图,如图14所示。遭到破坏振动声音报警手动复位 图14 振动报警框图系统由人为启动,接通电源后,进入警戒状态,检测到有破坏时,立即发出报警声,声响延迟一段时间后,再次进入警戒状态。为到达良好的实际使用效果,系统还应具备开机延时功能:用来防止主人在接通电源后,由于操作过程中的某些振动引起误报。振动系统原理图,如图15所示。Xi 图 15 振动报警原理图该电路由电阻、PNP三极管和一个扬声器组成。把Xi端和单片机的输出端P0.7相连。单片机工作时低电平有效,利用PNP三极管作为开关,当三极管处于截止状态时

29、,相当于开关断开状态。当三极管处于深饱和状态时,相当于开关闭合,高电平变化为低电平,单片机工作,三极管驱动扬声器发出报警声音,只有人为的复位,才结束报警。如图16 振动报警器外观图该报警器的使用特性:无源分布、电缆形状。本系统可以适应于各种复杂地形,不受地形的上下、曲折、转角等限制,不留死角,打破了红外线、微波墙等只适用于视距和平坦区域使用的局限性。 振动报警器的市场价格约为50元,其外观图如图16所示。 方案三:红外探测报警器红外探测报警器主要由红外线传感器,信号放大电路,电压比拟器,延时电路和报警电路组成。当红外探测器检测到前方人体辐射的红外信号后,探测器将输出微弱的电信号,然后经过放大、

30、比拟、延时,发出报警声音。红外线探测器即将检测到的红外信号转化成电信号,然后转化成声音信号发出报警声。因而可以用简单的放大电路就可实现此功能,采用红外线传感器,信号放大电路和报警电路组成。即只要红外探测器检测到红外信号就发出报警声,否那么不发出报警声。此方案具有立即响应的功能,设计简单,易于实现。但该报警器离开了红外信号源就无法发出声音,因而起不到真正的报警作用。但在此方案的根底上增加了报警延时电路,即当报警器检测到红外信号后发出报警声并让报警声持续约一分钟。该方案有红外传感器,信号放大电路,电压比拟器,延时电路和报警电路组成。该方案的原理框图如图17所示。红外探测器信号放大电压比拟延时报警

31、图17 红外探测报警框图1.信号放大模块:在此单元电路中,当红外线探测传感器J1探测到前方人体辐射出的红外线信号时,由IC1的脚输出微弱的电信号,经三极管等组成第一级放大电路放大,再通过C2输入到运算放大器U1中进行高增益、低噪声放大,此时由运放的脚输出的信号已足够强,能够对信号进行放大。信号放大模块电路图,如图18所示。图18 信号放大模块电路图2.电压比拟模块在本单元电路中,U1B作为电压比拟器,它的第脚由R10、VD1提供基准电压,当U1B脚输出的信号电压到达U1B的脚时,两个输入端的电压进行比拟,查看U1B的脚是高电平还是低电平。电压比拟模块电路图,如图19所示。 图19 电压比拟模块

32、电路图3.报警延时模块当红外探测器检测到红外信号后,经过放大,比拟Vin将为低电平,LM393的2脚也变为低电平,1脚输出为高电平,三极管导通,蜂鸣器发出声音开始报警,同时R14和C6开始充电,当2脚的电平超过3脚的电平时,比拟器1脚输出为低电平,蜂鸣器停止报警。此充电过程大约为一分钟,即让蜂鸣器持续报警一分钟。报警延时模块电路图,如图20所示。图20 报警延时模块电路图4.开机延时模块:由VT3、R20、C8组成开机延时电路,时间也约为1分钟,它的设置主要是防止使用者开机后立即报警,好让使用者有足够的时间离开监视现场,同时可防止停电后又来电时产生误报。开机延时模块电路图,如图21所示。 图2

33、1 开机延时模块电路图红外探测报警器的优点: 本身不发任何类型辐射,器件功耗很小,隐蔽性较好,价格低廉 。但也有一定的局限性:容易受各种热源、阳光源干扰; 红外穿透力差,人体的红外辐射容易被遮挡,不易被探测器接收;易受射频辐射的干扰; 环境温度和人体温度接近时,探测和灵敏度明显下降,有时造成短时失灵。红外探测报警器的市场价格约为:75元。其外观图如图22所示。 图22 红外探测报警器外观图 方案三:智能无线报警本文介绍一种采用单片机控制的基于 线的远程报警器。其主要特点是采用MITEL 公司的MT8880 集成电路收发 双音频信号和检测呼叫信号。由于该芯片由ISO2CMOS技术制造,具有低功耗

34、、高可靠性的特点,又能将其他同类芯片的单一功能集成,因此而节约了本钱,提高了整体稳定性。整体电路的原理框图如图23所示。传感器接口DTMF信号收发中央处理单元振铃检测 机/ 线接口电路 机 线语音电路电源 图23智能无线报警系统原理框图本报警器的工作原理:当发现警情时,探测器将警报信号通过传感器接口送入单片机,单片机的报警中断程序开始运行,调用拨号子程序按照预置号码进行拨号。此时单片机控制MT8880发送双音频信号拨号,并检测呼叫信号以判定是否可以调用语音模块进行语音报警。当没有警情时,可以通过另一部话机拨打本机,振铃检测电路将振铃音信号转换成TTL 信号传送给单片机,由单片机计数;当振铃五次

35、无人摘机时,系统就会自动摘机,调用远程设置子程序进行远程录音、查询警情等操作。摘挂机模块: 摘机后, 线的回路电流突然变大为约30mA的电流,程控 交换机检测到此变化就认为话机已经摘机。单片机通过端口控制三极管T01的导通和截止来控制电路中的电流的大小,从而模拟摘挂机的动作。当为低电平时,T01截止,电流比拟小,处于挂机状态;当为高电平,T01 导通,此时T01 和T02 组成的恒流源电路将提供30mA左右的电流,相当于 摘机,交换机就会接通话路,其电路图如图24所示。 图24 摘挂机电路原理图拨号音接收和DTMF 信号收发模块:信号的接收局部:在MT8880 的运放输出端和IN - 之间接反

36、应电阻可调节运放增益, 增益大小为R12/ R11。实际测试到 线上的DTMF 信号比拟小,不易检测到,应选择增益为3。为减少干扰,在IN2端接入100pF电容可改善MT8880 对DTMF 中高频分量的接收。信号的发送局部:从TONE 引脚输出的信号经过R13、C15 滤波后经过音频放大,经过音频耦合器输出到 线上。音频放大局部:由于构思是要使MT8880 发出的音频信号作为提示音信号,假设要使它能够被 信号另一端的人听到,就必须使信号输出的峰值到达2. 5V 以上。但是经过测试,TONE脚输出的峰值不到1V ,为此,必须加一个音频放大电路,其电路图如图25所示。 图25音频放大电路 报警模

37、块: 报警模块主要通过中断效劳程序来实现。该程序的根本流程是:报警器摘机,自动拨叫号码簿上相应的报警号码,如,110、119 ,或一组用户的自己设置的固定 号码、 号码。拨叫时,报警器摘机后先对 线上的信号进行检测,检测到拨号音就拨号,检测不到拨号音说明 线已有问题,无法拨号,就自动挂机。拨号后等待三秒再检测有无回铃音或忙音,如果都没有,就说明已经接通,可以进行语音报警。如遇回铃音就等待三秒再检测,假设等待三次后线路仍然不通,就拨叫下一个号码; 假设遇忙音就先挂机再等待10s ,重新拨号,如此三次后仍然拨不通,就拨叫下一个号码,直到将 簿上的所有号码拨完为止。本设计介绍一种采用单片机控制的基于

38、 线的远程报警器。其中使用目前比拟新颖的多功能DTMF 收发集成电路MT8880 ,本钱低,可靠度高,可广泛应用于家庭。智能无线报警器的市场价格约为:150元,其外观图如图26所示。图26 无线报警器外观图 报警装置方案选择报警装置的三种方案,从本钱、功能实现、效率、操作难易、以及精度等,综合考虑,我选择方案一振动报警器作为设计的报警装置。3 软件设计3.1 主程序在软件编程中,我负责报警装置的软件编程。同组人员戴晓琴和孟祥续分别负责选择装置、投币装置和显示装置的编程,主程序由我们一起负责编写。 I/O分配表 I/O分配表,如表1所示。表1 I/O分配表输入输出键盘P00P06二极管T0LCD

39、控制扬声器3.2 报警系统程序 报警系统流程图 报警系统流程图,如图27所示。c=p07D=0?YNf=0f=1c=1c=0蜂鸣器工作蜂鸣器不工作结束d=p32开始 图27 报警系统流程图 报警系统程序代码见附录24 硬件方案整合 我主要负责报警装置的电路图,比拟简单。同组人员戴晓琴和孟祥续也分别负责晶体振荡电路和复位电路,同时我们一起完成了总原理图的绘制。4.1 其他单元电路 晶体振荡电路 晶体振荡电路电路图,如图28所示。图28 晶体振荡电路1.晶体振荡电路功能介绍:此电路能让电路产生振荡频率,直流电经过晶体振荡电路就会产生电路所需的交流电,此电路不需要外信号刺激自身就可以将直流电能转化为

40、交流电能,所以要是电路需要将直流电变成交流电,在这里就需要用一个晶体振荡电路。2参数计算:这是一个晶体振荡电路用到了两个电容和一个晶振,一般12MHZ 30P,6MHZ 20P,2MHZ 10P,我采用的是12MHZ 30P的晶振电路。 复位电路 复位电路电路图,如图29所示。图29 复位电路1.复位电路功能介绍:为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一局部,复位电路的第一功能是上电复位。一般微机电路正常工作需要供电电源为5V5%,即4.755.25V。由于微机电路是时序数字电路,它需要稳定的时钟信号,因此在电源上电时,只有当VCC超过4.75V低于5.25V以及晶体振荡器稳定

41、工作时,复位信号才被撤除,微机电路开始正常工作。2.参数计算:这是一个复位电路,由一个电阻和一个电容组成,一般的复位电路电容都为10uF,电阻都为10K。 报警电路 报警电路电路图,如图30所示。图30 报警电路1.报警电路功能介绍:当箱体受到强行破坏时,外壳采用红外报警器,扬声器就会作用发出声音,提醒工作人员。2.参数计算:这是一个报警电路它由电阻、三极管、蜂鸣器组成,R4作为保护电阻它的阻值范围:3301K。4.2 总原理图见附录3见附录44.4 PCB板PCB板又称印刷电路板,是电子元器件电气连接的提供者。它的开展已有100多年的历史了;它的设计主要是幅员设计;采用电路板的主要优点是大大

42、减少布线和装配的过失,提高了自动化水平和生产劳动率。PCB板的设计目的在于标准设计作业,提高生产效率和改善产品的质量。采用印制板的主要优点是:1.由于图形具有重复性再现性和一致性,减少了布线和装配的过失,节省了设备的维修、调试和检查时间。2设计上可以标准化,利于互换。3布线密度高、体积小、重量轻,利于电子设备的小型化。4利于机械化、自动化生产,提高了劳动生产率并降低了电子设备的造价。 印制电路板布局一般原那么:1距板边距离应大于5mm 。 2先放置与结构关系密切的元件,如接插件,开关,电源插座等 。 3优先摆放电路功能块的核心元件及体积较大的元器件,再以核心元件为中心摆放周围电路元器件。 4功

43、率大的元件摆放在有利于散热的位置上。 5质量较大的元器件应防止放在板的中心,应靠近机箱中的固定边放置。 6有高频连线的元件尽可能靠近,以减少高频信号的分布和电磁干扰。 7输入,输出元件尽量远离。 8带高压的元器件尽量放在调试时手不易触及的地方。 9热敏元件应远离发热元件。 10可调元件的布局应便于调节。 11考虑信号流向,合理安排布局使信号流向尽可能保持一致。 12布局应均匀,整齐,紧凑。 13SMT元件应注意焊盘方向尽量一致,以利于装焊,减少桥联的可能。 14去藕电容应在电源输入端就近位置。 15波峰焊面的元件高度限制为4mm 。 16对小尺寸高热量的元件加散热器尤为重要,大功率元件下可以通

44、过敷铜来散热,而且这些元件周围尽量不要放热敏元件。 17定位孔到附近焊盘的距离不小于(300mil),定位孔到表贴器件边缘的距离不小于(200mil)。印制电路板布线的一般原那么:1线应防止锐角,直角,应采用四十五度走线。 2相邻层信号线为正交方向。 3高频信号尽可能短。 4输入,输出信号尽量防止相邻平行走线,最好在线间加地线,以防反应耦合。 5双面板电源线,地线的走向最好与数据流向一致,以增强抗噪声能力。 6数字地、模拟地要分开。 7时钟线和高频信号线要根据特性阻抗要求考虑线宽,做到阻抗匹配。 8整块线路板布线,打孔要均匀。 9单独的电源层和地层,电源线,地线尽量短和粗,电源和地构成的环路尽

45、量小。 此PCB板形状设计为长方形,长为,宽为130mm,可以安放在自动售货机的内部,实现所有设定的功能,PCB板如图31a、31b所示。 图31a PCB板正面 图31b PCB板反面5 软件方案整合在软件编程中,我负责报警装置的软件编程。同组人员戴晓琴和孟祥续分别负责选择装置、投币装置和出货装置和找零装置的编程。5.1 选择系统程序 选择系统流程图 选择系统流程图,如图32所示。 图32 选择系统流程图 选择系统程序代码见附录25.2 投币系统程序 投币系统流程图投币装置流程图,如图33所示。 图33a 2元货物处理子程序流程图 图33b 3元货物处理子程序流程图 图33c 4元货物处理子

46、程序流程图 投币系统程序代码见附录25.3 出货系统程序 出货系统流程图出货装置流程图,如图34所示。图34 出货装置流程图 出货系统程序代码见附录2 找零系统程序 找零系统流程图找零装置流程图,如图35所示。图35 找零装置流程图 找零系统程序代码见附录26 外观设计根据电路板、所装商品、占地面积等各种因素的综合考虑,设计出智能自动售货的外观,如图32所示。此产品高170cm,长95cm,宽85cm。便于安放,节约占地面积的同时又可容纳多种商品,可安放在各种公共场合,外表也可以植入商家多种广告,到达额外盈利的目的。外观如图36所示。:正面橱窗 :出货箱体 :投币口:显示灯 :选择键盘 :退币

47、口 图36 自动售货机的外观设计7 生产工艺文件生产工艺文件是指将组织生产实现工艺过程的程序、方法、手段及标准用文字及图表的形式来表示,用来指导产品制造过程的一切生产活动,使之纳入标准有序的轨道。企业是否具备先进、科学、合理、齐全的工艺文件是企业能否平安、优质、高产低消耗的制造产品的决定条件。但凡工艺部门编制的工艺方案、工艺标准、工艺方案、质量控制规程也属于工艺文件的范畴。工艺文件是带强制性的纪律性文件。不允许用口头的形式来表达,必须采用标准的书面形式,而且任何人不得随意修改,违反工艺文件属违纪行为。.1 编制工艺规程的依据及原那么:1. 编制的依据工艺规程编制的技术依据是全套设计文件、样机及

48、各种工艺标准;工艺规程编制的工作量依据是方案日月产量及标准工时定额;工艺规程编制的适用性依据是现有的生产条件及经过努力可能到达的条件。2. 编制应掌握的原那么:既要具有经济上的合理性技术上的先进性,又要考虑企业的实际情况,具有适用性。必须严格与设计文件的内容相符合,应尽量表达设计的意图,最大限度的保证设计质量的实现。要严肃认真,一丝不苟,力求文件内容完整正确,表达简洁明了,条理清楚,用词标准严谨。并尽量采用视图加以表达。要做到不用口头解释,根据工艺规程,就可正常的进行一切工艺活动。要表达质量第一的思想,对质量的关键部位及薄弱环节应重点加以说明。技术指标应前紧后松,有定量要求,无法定量要以封样为

49、准。尽量提高工艺规程的通用性,对一些通用的工艺要求应上升为通用工艺。表达形式应具有较大的灵活性及适用性,做到当产量发生变化时,文件需要重新编制的比例压缩到最少程度。编制插件工艺文件是一项细致而繁琐的工作,必须综合考虑合理的次序、难易的搭配、工作量的均衡等诸因素,因为插件工人在流水线作业时,每人每天插入的元器件数量高达800010000只,在这样大数量的重复操作中,假设插件工艺编排不合理,会引起过失率的明显上升,所以合理的编排插件工艺是非常重要的,要使工人在思想比拟放松的状态下,也能正确高效的完成作业内容。7.1.2 编制要领a.各道插件工位的工作量安排要均衡,工位间工作量差异3 秒。b. 电阻

50、器防止集中在某几个工位安装,应尽量平均分配给各道工位。c. 外型完全相同而型号规格不同的元件器,绝对不能分配给同一工位安装。d. 型号、规格完全相同的元件应尽量安排给同一工位。e.需识别极性的元器件应平均分配给各道工位。f.安装难度高的元器件,也要平均分配。g.前道工位插入的元器不能造成后工位安装的困难。h. 插件工位的顺序应掌握先上后下,先左后右,这样可减少前后工位的影响。i.在满足上述各项要求的情况下,每个工位的插件区域应相对集中,有利于插件速度。7.1.3 编制步骤及方法计算生产节拍时间每天工作时间:8小时 上班准备时间:15分钟 上午休息时间:15分钟 下午休息时间:15分钟每天实际作

51、业时间=每天工作时间准备时间+休息时间=86015+15+15=435min节拍时间=实际作业时间/方案日产量=43560/1000=26.1s计算印制板插件总工时将元器件分类列在表内,按标准工时定额查出单件的定额时间,最后累计出印制板插件所需的总工时为103秒,如表2所示。表2 器件插件时间表序号元器件名称数量/只定额时间/s累计时间/s1电阻43122无极性电容43123有极性电容34开关83245二极管73216三极管17芯片4148晶振1339扬声器133合计工时/s103计算插件工位数插件工位的工作量安排一般应考虑适当的余量,当计算值出现小数时一般总是采取进位的方式,所以根据上式得出

52、,日产1000块印制板的插件工位人数应确定为4人。插件工位数=插件总工时/节拍时间=103/26.1=3.94人确定工位工作量时间工位工作量时间=插件总工时/人数=24.5s工作量允许误差=节拍时间10%=2.6s划分插件区域:按编制要领将元器件分配到各工位。对工作量进行统计分析:对每个工位的工作量进行统计分析,如表3所示。表3 工位所插元器件表类型工位序号一二三四电阻/只4无极性电容/只4有极性电容/只21开关/只8二极管/只7三极管/只1芯片/只4晶振/只1扬声器/只1工时数/s2426编写装配工艺卡片。 此次设计的生产工艺文件见附录5。8 总结毕业设计是一次非常难得的理论与实际相结合的时

53、机,通过这次智能自动售货机系统电路的设计,不仅是理论知识的学习,还有实际设计的结合,综合运用所学的专业根底知识,解决实际问题的能力,同时也提高我查阅文献资料、设计标准以及电脑制图等其他专业能力水平,而且通过对整体的掌控,对局部的取舍,以及对细节的斟酌处理,都使我的能力得到了锻炼,经验得到了丰富,虽然毕业设计内容多,我的收获却更加丰富。各种系统的适用条件,各种设备的选用标准,都随着设计的不断深入而不断熟悉并学会应用。和老师的沟通交流更使我对设计有了新的认识也对自己提出了新的要求。通过这次的设计也使我意识到自己知识的狭隘,我们可以在以后的学习过程中通过丰富的图书馆资源和网络资源来弥补这一缺乏。经过

54、近两个月的努力我们终于完成了毕业设计,从一开始时老师要求我们制定了详细的工作方案,我们把方案细化到每天要完成什么样的任务。正因为老师要求我们制定的具体的任务进程我们才能按时保质保量的完成任务。在这几个星期里我学到了很多的知识,这些都是我以前所不曾学到的。Protel是我们以前实训时学的内容,在这次毕业设计中又好好的复习了一遍,所谓温故而知新,还包括我们的根底课程?高频电子技术?,?电工电子根底?,?单片机应用根底?等,毕业设计就是把三年学习的课程串联起来,做一个总体的复习。现在,自动售货机产业正在走向信息化并进一步实现合理化。例如实行联机方式,通过 线路将自动售货机内的库存信息及时地传送各营业

55、点的电脑中,从而确保了商品的发送、补充以及商品选定的顺利进行。并且,为防止地球暖化,自动售货机的开发致力于能源的节省,节能型清凉饮料自动售货机成为该行业的主流。在夏季电力消费顶峰时,这种机型的自动售货机即使在关掉冷却器的状况下也能保持低温,与以往的自动售货机相比,它能够节约1015%的电力。自动售货机在一些兴旺国家应用非常普遍,在我国的安放和使用也已有10多年的时间,但一直没有全面普及。目前的国内市场仅仅属于市场导入期,中国的自动售货机拥有量约为4万台相对于13亿人口,这是个微缺乏道的数字。即使是按现在中国城市的人口3.9亿人计算,平均每10000城市人口中才有一台自动售货机。在日本是每25人

56、一台,美国是40人一台,欧洲那么是50到120人一台。在中国一些中大型城市自动售货机的速度也在以每年10%的速度递增。在国内市场已经吸引了生产商10余家,市场运营商40余家。因经济日益兴旺,社会对扩大就业与工作场所提供的方便、快捷的自动事业更为关注。自动售货机不仅保障了惬意的工休时间,也是最廉价、提高职工工作效率最有效的手段。特别是在24小时无休工作状态中的办公场所,使用独具魅力的迷你型自动售货机,可以包含冷热饮料、食品、以及一些日常用品,给人们带来很大的方便,这种效劳正好符合现代人快节奏生活的标志,也是自动化信息时代的一种标志。提高是有限的,但提高也是全面的,正是这一次设计让我积累了无数实际

57、经验,虽然时间紧迫但我学会了很多,也感到自身知识的贫乏, 也必然会让我在未来的工作学习中表现出更高的应变能力,更强的沟通力和理解力。顺利如期的完本钱次毕业设计给了我很大的信心,让我了解专业知识的同时也对本专业的开展前景充满信心。致谢首先,我要感谢我的导师李玮老师在毕业设计中对我给予的悉心指导和严格要求。在我毕业论文写作期间,李老师给我提供了种种专业知识上的指导和日常生活上的关心,没有老师的帮助和关心,我不会这么顺利的完成毕业设计,借此时机,向您表示由衷的感谢。然后我要感谢在我大学三年中教过我的老师,正因为他们的倾囊相授,我才能掌握根底专业知识,如期并且保质量的完成此次毕业设计,感谢每一位老师!

58、接着,我要感谢和我一起做毕业设计的同学。给我提出很多珍贵的意见,给了我不少帮助,在此也真诚的谢谢你们。同时,我还要感谢我的寝室同学和身边的朋友,正是在这样一个团结友爱,相互促进的环境中,在和他们的相互帮助和启发中,才有我今天的小小收获。我还要感谢我的家人,正是他们把我养育成人,在生活和学习上给予我无尽的爱、理解和支持,才使我时刻充满信心和勇气,克服成长路上的种种困难,顺利的完成大学学习。 最后要感谢我的母校常州工程学院,愿母校万年长青!参考文献【6】杨路明 C语言程序设计教程M.北京邮电大学出版社.2005.1 【7】王振营、李满、杨君 ProtelDXP2004电路设计与制版实用教程M.中国铁道出版社.2006.6

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!