ch时序逻辑电路设计PPT课件

上传人:牛*** 文档编号:98056651 上传时间:2022-05-28 格式:PPTX 页数:86 大小:1.20MB
收藏 版权申诉 举报 下载
ch时序逻辑电路设计PPT课件_第1页
第1页 / 共86页
ch时序逻辑电路设计PPT课件_第2页
第2页 / 共86页
ch时序逻辑电路设计PPT课件_第3页
第3页 / 共86页
资源描述:

《ch时序逻辑电路设计PPT课件》由会员分享,可在线阅读,更多相关《ch时序逻辑电路设计PPT课件(86页珍藏版)》请在装配图网上搜索。

1、6.1 6.1 时序逻辑电路的基本概念时序逻辑电路的基本概念6.2 6.2 同步时序逻辑电路的分析方法同步时序逻辑电路的分析方法6.3 6.3 同步时序逻辑电路的设计方法同步时序逻辑电路的设计方法6.4 6.4 异步时序逻辑电路的分析方法异步时序逻辑电路的分析方法6.5 6.5 若干典型的时序逻辑集成电路若干典型的时序逻辑集成电路第六章 时序逻辑电路第1页/共86页6.1 6.1 时序逻辑电路的基本概念时序逻辑电路的基本概念6.1.1 6.1.1 时序逻辑电路的基本结构和特点时序逻辑电路的基本结构和特点6.1.2 6.1.2 时序逻辑电路的分类时序逻辑电路的分类6.1.3 6.1.3 时序逻辑

2、电路功能的描述方法时序逻辑电路功能的描述方法 第2页/共86页6.1.1 概述数字逻辑电路组合逻辑电路时序逻辑电路任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关任意时刻的输出不仅取决于该时刻的输入,还与电路原来的状态有关第3页/共86页例:串行加法器,两个多位数从低位到高位逐位相加3032211302302011oabcaabbcscabscscs进位结果保存到高一位加法时使用组合逻辑电路存储电路第4页/共86页任意时刻的输出不仅取决于该时刻的输入,还与电路原来的状态有关第5页/共86页一般结构形式与功能描述方法输入信号状态信号输出信号驱动信号第6页/共86页可以用三个方程组来描述

3、:),(),(),(2121212111QXFYqqqxxxfyqqqxxxfylijjli输出方程),(),(),(2121212111QXGZqqqxxxgzqqqxxxgzlikkli驱动方程),(*),(),(*2121212111QZHQqqqzzzhqqqqzzzhqlillli状态方程第7页/共86页1、电路结构:有存储器件,有反馈回路2、电路功能:有记忆3、I/O关系:输出与当前输入有关,并与触发器状态有关,有强烈的时序概念时序电路特点第8页/共86页4、功能描述:3组方程输出方程驱动方程状态方程 (,)YF X Q输出方程驱动方程激励方程*( ,)QH Z Q状态方程(,)Z

4、G X Q第9页/共86页5、时序电路的分类动作特点同步时序电路异步时序电路所有触发器由统一时钟控制;复杂,可靠没有统一的时钟,简单输出信号特点MealyMoore(,)YF X Q与输入变量,存储器状态有关( )YF Q仅取决于存储电路的状态第10页/共86页计数器、寄存器、移位寄存器、读写存储计数器、寄存器、移位寄存器、读写存储器、脉冲分配器、分频器、序列信号检测器、脉冲分配器、分频器、序列信号检测器(发生器)等器(发生器)等6、根据逻辑功能分类根据逻辑功能分类第11页/共86页6.1.3 6.1.3 时序电路逻辑功能的表示方法时序电路逻辑功能的表示方法逻辑方程组逻辑方程组(输出方程、驱动

5、(激励)方程、状态方程、时钟方程(异步)(输出方程、驱动(激励)方程、状态方程、时钟方程(异步)状态表状态表状态图状态图时序图时序图激励表(设计)激励表(设计)这些表示方法在本质上是相同的,可以互相转换。这些表示方法在本质上是相同的,可以互相转换。第12页/共86页6.2 6.2 同步时序逻辑电路的分析方法同步时序逻辑电路的分析方法已知:已知:由逻辑门和触发器组成的时序逻辑电路。由逻辑门和触发器组成的时序逻辑电路。目的:目的:分析时序逻辑电路的功能分析时序逻辑电路的功能步骤:步骤:1、确定时序逻辑电路的类型、确定时序逻辑电路的类型2、分别写出、分别写出输出方程输出方程(外部,可有可无),(外部

6、,可有可无),驱动方程驱动方程(根据各个触发(根据各个触发器输入信号的来源),器输入信号的来源),状态方程状态方程(把驱动方程代入特征方程),(把驱动方程代入特征方程),时钟脉时钟脉冲冲CP方程方程(异步)。(异步)。3、根据上述推导出的方程式列状态表。、根据上述推导出的方程式列状态表。4、画状态图和时序图。、画状态图和时序图。5、分析功能,并判断电路的自启动能力。、分析功能,并判断电路的自启动能力。同步(同步(moore mealy)异步(异步(moore)第13页/共86页Q0Q0FF0 FF1CP YQ1Q11T C11T C1&=1 X“1”例例1 1输出方程:输出方程:驱动方程:驱动

7、方程:nnQXQXY111001TQXTn1 1、类型:、类型:同步同步mealymealy型型2 2、写方程式:、写方程式:T T触发器的特性方程:触发器的特性方程:nnQTQ1将各触发器的驱动方将各触发器的驱动方程代入,即得电路的程代入,即得电路的状态方程:状态方程:nnnnnnnnQQQTQQQXQTQ0000101011111第14页/共86页nnnnnnQXYQQQQXQ101010113 3、根据状态方程、根据状态方程写状态表写状态表根据状态表画状态图根据状态表画状态图x/Y0/1000111100/11/00/10/11/01/11/10 00 11 01 110XYQQnn/1

8、011nnQQ0101/110/111/100/111/000/001/110/1第15页/共86页 CP X Q0 Q1 Y 分析:分析:0000、0101、1010、1111四种状态都是有效状态。四种状态都是有效状态。当输入当输入X X 0 0时,在时钟脉冲时,在时钟脉冲CPCP的作用下,电路的的作用下,电路的4 4个状态按递增规律个状态按递增规律循环变化,即:循环变化,即:00011011000001101100当当X X1 1时,在时钟脉冲时,在时钟脉冲CPCP的作用下,电路的的作用下,电路的4 4个状态按递减规律循环变个状态按递减规律循环变化,即:化,即:00111001000011

9、100100可见,该电路既具有递增计数功能,又具有递减计数功能,是一个可见,该电路既具有递增计数功能,又具有递减计数功能,是一个4进进制同步可逆计数器。制同步可逆计数器。 X为加为加/减控制信号。减控制信号。 4 4、时序图、时序图5 5、电路功能、电路功能000111100/11/00/10/10/11/01/11/1所以该电路是一个可逆的所以该电路是一个可逆的4 4进制计数器。进制计数器。第16页/共86页 YQ1Q1Q2Q21J C11K1J C11K1J C11K&Q0Q0FF0 FF1 FF2CP例例2 2nnQQY21nnnnnnQKQJQKQJQKQJ202001011212 输

10、出方程:输出方程:驱动方程:驱动方程:2 2、写方程式:、写方程式:1 1、类型:、类型:同步同步mooremoore型型JKJK触发器的特性方程:触发器的特性方程:nnnQKQJQ1将各触发器的驱动方程代入,即将各触发器的驱动方程代入,即得电路的状态方程:得电路的状态方程:nnnnnnnnnnnnnnnnnnnnnnnnQQQQQQKQJQQQQQQQKQJQQQQQQQKQJQ202020000100101011111112121222212第17页/共86页nnnnnnnnQQYQQQQQQ21210011112现 态次 态输 出nnnQQQ012 101112 nnnQQQY0 0 0

11、0 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 11 0 11 1 10 0 00 1 01 0 01 1 0000011003 3、根据输出方程和状态、根据输出方程和状态方程写状态表方程写状态表根据状态表画状态图根据状态表画状态图/Y/0111000/0001/0011/0110/0100/1101010/0/1有效状态(有效序列)有效状态(有效序列)无效状态无效状态该电路不具备该电路不具备自启动能力。自启动能力。自启动能力:当电路处于无效状态时,自启动能力:当电路处于无效状态时,在时钟脉冲在时钟脉冲CP的作用下,能够回到有的作用下,能够回到有效状态的

12、能力。效状态的能力。第18页/共86页CPQ0Q1Q2Y6 6个有效状态分别是个有效状态分别是0 05 5这这6 6个十进制数字的格雷码,个十进制数字的格雷码,并且在时钟脉冲并且在时钟脉冲CPCP的作用下,这的作用下,这6 6个状态是按递增规律变化的,即:个状态是按递增规律变化的,即:000001011111110100000000001011111110100000当对第当对第6 6个脉冲计数时,计数器又重新从个脉冲计数时,计数器又重新从000000开始计数,并产生输出开始计数,并产生输出Y Y1 1。4 4、时序图、时序图5 5、电路功能、电路功能000/0001/0011/0111/01

13、10/0100/1功能:功能:用格雷码表示的六进制同步递增计数器用格雷码表示的六进制同步递增计数器。第19页/共86页脉冲分配器:脉冲分配器:电路在时钟脉冲的作用下,按一定顺序轮流地输出脉冲信号。电路在时钟脉冲的作用下,按一定顺序轮流地输出脉冲信号。由于电路能在时钟脉冲作用下将脉冲信号按顺序分配到各个输出端,故称其由于电路能在时钟脉冲作用下将脉冲信号按顺序分配到各个输出端,故称其为脉冲分配器。为脉冲分配器。逻辑功能分析。逻辑功能分析。从以上分析可以看出,该电路在从以上分析可以看出,该电路在CP脉冲作用下,把宽度为脉冲作用下,把宽度为T的脉冲以三次的脉冲以三次分配给分配给Q0、 Q和和Q2各端,

14、因此,该电路是一个脉冲分配器。由状态图和各端,因此,该电路是一个脉冲分配器。由状态图和波形图可以看出,该电路每经过三个时钟周期循环一次,并且该电路具有波形图可以看出,该电路每经过三个时钟周期循环一次,并且该电路具有自启动能力。自启动能力。 第20页/共86页CPQ2Q21D C11D C1Q1Q1FF0 FF1 FF21D C1Q0Q0例例3 3异步时序电路,时钟方程:异步时序电路,时钟方程:驱动方程:驱动方程:CPCPQCPQCP00112 nnnQDQDQD001122,1 1、类型:、类型:异步异步mooremoore型型2 2、写方程式:、写方程式:D D触发器的特性方程:触发器的特性

15、方程:DQn1将各触发器的驱动方将各触发器的驱动方程代入,即得电路的程代入,即得电路的状态方程:状态方程:上升沿时刻有效时有效由时有效由CP 10Q 10Q 00100111112212nnnnnnQDQQDQQDQ第21页/共86页 010111212nnnnnnQQQQQQ3 3、根据状态方程、根据状态方程写状态表写状态表CPCPQCPQCP00112nnnQQQ012 012P P PCCC101112 nnnQQQ0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 11010101001100110011001101001100101001011010010

16、11100001114 4、状态图、状态图000111110101100011010001第22页/共86页 C P Q0 Q1 Q2 由状态图可以看出,在时钟脉冲由状态图可以看出,在时钟脉冲CPCP的作用下,电路的的作用下,电路的8 8个状态按递减规个状态按递减规律循环变化,即:律循环变化,即:000111110101100011010001000000111110101100011010001000电路具有递减计数功能,是一个电路具有递减计数功能,是一个8 8进制异步减法计数器进制异步减法计数器。或分频器。或分频器。5 5、电路功能、电路功能4 4、时序图、时序图0001111101011

17、00011010001第23页/共86页练习练习1 1:输出方程:输出方程:驱动方程:驱动方程:1 1、类型:、类型:同步同步mealymealy型型2 2、写方程式:、写方程式:JKJK触发器的特性方程:触发器的特性方程:nnnQKQJQ1将各触发器的驱动将各触发器的驱动方程代入,即得电方程代入,即得电路的状态方程路的状态方程:nnQQXZ01)(1 1 101010KQXJKQXJnnnnnnnnnnnnQQXQKQJQQQXQKQJQ1011111101000010)()(第24页/共86页0 00 11 01 110XZQQnn/1011nnQQ0101/010/000/100/010

18、/100/001/000/0根据状态表画状态图根据状态表画状态图X/Z1/0010/11/10/0000/0101/0110/01/03 3、根据状态方、根据状态方程写状态表程写状态表nnQQXZ01)(nnnnnnQQXQQQXQ10110110)()(第25页/共86页4 4、时序图、时序图XCP1234560QZ1Q5 5、电路功能、电路功能该电路一共有该电路一共有3个状态个状态00、01、10。当当X=0时,按照加时,按照加1规律从规律从00011000循环变化,并每当转换为循环变化,并每当转换为10状态(最大数)时,输状态(最大数)时,输出出Z=1。当当X=1时,按照减时,按照减1规

19、律从规律从10010010循环变化,并每循环变化,并每当转换为当转换为00状态(最小数)时,输出状态(最小数)时,输出Z=1。所以该电路是一个可控的所以该电路是一个可控的3 3进制计数器。进制计数器。第26页/共86页=1JKCPQ0Q1Z1JKCPJKCPCPQ21 类型: 异步moore122122110112001102221010101CP CP CP 1K 1K 1K QQQCPQQQQCPQQQQQJQJQJQZnnnnnnnnnnnnnn状态方程:驱动方程:输出方程:2 写方程组:第27页/共86页3 写状态表:122122110112001101CP CP CP QQQCPQQ

20、QQCPQQQQQZnnnnnnnnnnnnnnQQQ012 012P P PCCC101112 nnnQQQZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1100010 0001000100111001011110010100111100110011004 状态图:/Z101110000001010100111011/1/1/0/1/1/0/0/0第28页/共86页5 时序图:101110000001010100111011/1/1/0/1/1/0/0/0 CP 210QQQ第29页/共86页6.3 6.3 同步时序逻辑电路的设计方法同步时序逻辑电路的设计方

21、法一、基本思想:一、基本思想:用尽可能少的触发器和门电路来实现所要求的逻辑功能。即:用尽可能少的触发器和门电路来实现所要求的逻辑功能。即:1 1)简洁,明了,低成本;)简洁,明了,低成本;2 2)可靠、稳定、一致性。)可靠、稳定、一致性。二、时序电路的设计步骤二、时序电路的设计步骤:1、根据给定的设计要求,写出原始的状态转换图和原始状态表。、根据给定的设计要求,写出原始的状态转换图和原始状态表。(最为关键)(最为关键)a a)分析给定的逻辑功能,确定输入变量,输出变量及该电路应包含的状态,)分析给定的逻辑功能,确定输入变量,输出变量及该电路应包含的状态,并用字母并用字母S S0 0,S S1

22、1. .表示这些状态。对于同步电路,时钟脉冲不作为输入条表示这些状态。对于同步电路,时钟脉冲不作为输入条件件b b)分别以上述状态为现态,考察在每一个可能的输入组合作用下应转入分别以上述状态为现态,考察在每一个可能的输入组合作用下应转入哪个状态及相应的输出,便可求得符合题意的状态图。哪个状态及相应的输出,便可求得符合题意的状态图。Mooremealy第30页/共86页设计一个同步递增5进制计数器。000001010011100第31页/共86页例:设计一个序列脉冲检测器有一个输入端例:设计一个序列脉冲检测器有一个输入端X和一个输出端和一个输出端Z,输入端,输入端X输入一输入一串随机的二进制代码

23、,当输入序列中出现串随机的二进制代码,当输入序列中出现110时,输出时,输出Z产生一个产生一个1输出,否则输出,否则Z输出输出0。典型输入、输出序列如下:。典型输入、输出序列如下:输入输入X:1 0 1 0 1 1 1 0 0 1 1 0输出输出Z: 0 0 0 0 0 0 0 1 0 0 0 1试作出该序列检测器的原始状态图。试作出该序列检测器的原始状态图。有用的状态:有用的状态: (保留)(保留)S0初始状态初始状态S1收到一个收到一个1后的状态;后的状态;S2连续收到连续收到11后的状态;后的状态;S3连续收到连续收到110后的状态。后的状态。S0S1S2S30/01/00/01/01/

24、00/10/01/0第32页/共86页设计一个序列脉冲检测电路,当连续输入设计一个序列脉冲检测电路,当连续输入100(000或或001或或101等)时,电等)时,电路的输出为路的输出为1,其它情况下输出为,其它情况下输出为0。S0S1S2S3100:S0: 初态 S1: 1S2: 10 S3: 1000/01/01/00/00/11/00/01/0001:S0:初态 S1: 0S2: 00 S3: 001S0S1S2S30/01/10/00/01/01/00/01/0第33页/共86页2、状态化简。、状态化简。状态等价:状态等价:是指在原始状态图中,如果有两个或两个以上的状态,在输入相是指在原

25、始状态图中,如果有两个或两个以上的状态,在输入相同的条件下,不仅有相同的输出,而且向同一全次态转换,则同的条件下,不仅有相同的输出,而且向同一全次态转换,则称这些状态是称这些状态是等到价的。凡是等价状态都可以合并等到价的。凡是等价状态都可以合并. .判断两个状态等价的方法(在输入相同的条件下):判断两个状态等价的方法(在输入相同的条件下):第一,它们的输出完全相同;第一,它们的输出完全相同;第二,它们的次态相同。第二,它们的次态相同。以状态等价为基础以状态等价为基础第34页/共86页S0S1S2S30/01/00/01/01/00/10/01/0S S0 0和和S S3 3是状态等价是状态等价

26、S0S1S20/01/00/01/01/00/1S0S1S2S30/01/01/00/00/11/00/01/0S0S1S2S30/01/10/00/01/01/00/01/0第35页/共86页3、状态编码或状态分配。、状态编码或状态分配。即把一组适当的二进制代码分配给简化状态图(表)中即把一组适当的二进制代码分配给简化状态图(表)中各个状态。各个状态。从而获得最佳或接近最佳的状态分配方案。从而获得最佳或接近最佳的状态分配方案。编码的方案不同,设计的电路结构也不同,选取的编码方案应该有利于所选择触编码的方案不同,设计的电路结构也不同,选取的编码方案应该有利于所选择触发器的发器的驱动方程及电路输

27、出方程的简化。遵循状态变化的顺序,尽量以驱动方程及电路输出方程的简化。遵循状态变化的顺序,尽量以自然二进制递增顺序编码。自然二进制递增顺序编码。000111第36页/共86页后面是同步时序逻辑电路的一般步骤:后面是同步时序逻辑电路的一般步骤:4、选择触发器的类型及个数。、选择触发器的类型及个数。5、根据编码后的状态图画出状态表和激励表。、根据编码后的状态图画出状态表和激励表。6、利用卡诺图化简求电路的输出方程和触发器的驱动方程。、利用卡诺图化简求电路的输出方程和触发器的驱动方程。7、画出电路图,并检查自启动能力。、画出电路图,并检查自启动能力。电路万一偶然进入无效状态,能否在输入信号和时钟脉冲

28、下进入有效状电路万一偶然进入无效状态,能否在输入信号和时钟脉冲下进入有效状态,如果能自动进入有效状态则称为具有自启动功能;否则,称为态,如果能自动进入有效状态则称为具有自启动功能;否则,称为“挂挂起起”。必须对所设计的电路进行修改。其方法是:在卡诺图的包围圈内,。必须对所设计的电路进行修改。其方法是:在卡诺图的包围圈内,对无效状态对无效状态x的原来取的原来取1画在圈内的,可试改为画在圈内的,可试改为0而不画入包围圈,或者而不画入包围圈,或者相反。最后再进行检查,查到能够自启动为止。相反。最后再进行检查,查到能够自启动为止。第37页/共86页例例1 11 1、建立原始状态图、建立原始状态图设计一

29、个按自然态序变化的设计一个按自然态序变化的7 7进制同步加法计数器,计数规则为逢七进进制同步加法计数器,计数规则为逢七进1 1,产生一个进位输出。产生一个进位输出。 000001010011 /0 110101100 /0 /0 /0 /0 /0排列顺序: /Y nnnQQQ012/12 2、状态化简、状态化简3 3、状态分配、状态分配已经最简已经最简。已是二进制状态。已是二进制状态。4 4、选触发器,列状态表,求时钟、输出、状态、驱动方程、选触发器,列状态表,求时钟、输出、状态、驱动方程因需用因需用3 3位二进制代码,选用位二进制代码,选用3 3个个CPCP下降沿触发的下降沿触发的JKJK触

30、发器,分别用触发器,分别用FF0FF0、FF1FF1、FF2FF2表示。表示。由于要求采用同步方案,故时钟方程为:由于要求采用同步方案,故时钟方程为:CPCPCPCP210第38页/共86页 现 态 次 态 输 出 nnnQQQ012 101112 nnnQQQ Y 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 00 1 11 0 01 0 11 1 00 0 0 0000001 000001010011 /0 110101100 /0 /0 /0 /0 /0排列顺序: /Y nnnQQQ012/1nnQQY12 nnQQJ120、10K n

31、QJ01、nnQQK021 nnQQJ012、nQK12激励信号J2 K2J1 K1J0 K00 0 0 1 0 0 1 0 1 0 10 1 0 1 11 11 10 00 01 11 1001nnQQ12nQ00000100第39页/共86页 nnQQJ120、10K nQJ01、nnQQK021 nnQQJ012、nQK12 YFF0 FF1 FF2CPQ1Q1Q2Q21J C11K 1J C1 1K 1J C11K&Q0Q0&1&6 6、画电路图、画电路图5 5、检查电路能否自启动、检查电路能否自启动将无效状态将无效状态111111代入状态方程计算:代入状态方程计算:000212011

32、2102101101210nnnnnnnnnnnnnnnnQQQQQQQQQQQQQQQQ可见可见111111的次态为有效状态的次态为有效状态000000,电路能够自启动。,电路能够自启动。nnQQY21第40页/共86页110110111111 011011001001000000100100用用JKJK触发器设计一个同步时序电路,实现下图所示状态图描述的功能。触发器设计一个同步时序电路,实现下图所示状态图描述的功能。要求电路能够自启动。要求电路能够自启动。例例2 2nnnnnnQQQQQQ110211012状态表状态表 Q2n+1Q1n+1Q0n+1Q2n Q1n Q0n0 0 00 0

33、10 1 00 1 11 0 01 0 11 1 01 1 11 0 00 0 0X X X0 0 11 1 0X X X 1 1 10 1 1将状态方程组变换为与将状态方程组变换为与JKJK触发器特征方程相同的形式触发器特征方程相同的形式nnnnnnnnnnnnnnnnnnnnnnnnQQQQQQQQQQQQQQQQQQQQQQQQ010100110121211211202022012)()()(nnnnnnQJQKQJQKQJQK000011112222nnnnnnQKQJQKQJQKQJ101021210202 第41页/共86页nnnnnnnnnnnnnnnQQQQQQQQQQQQQQ

34、Q010110121211202012检查多余状态检查多余状态Q2nQ1nQ0nQ2n+1Q1n+1Q0n+1 0 1 0 1 0 1 1 0 1 0 1 0电路不具有自启动能力。电路不具有自启动能力。改变无效状态的次态:本例选择改变无效状态的次态:本例选择010010,原来次态为,原来次态为101101,现改为,现改为001001nnnnnnQKQJQKQJQKQJ101021210202 nnnnnnnQKQJQKQJQKQQJ1010212102102 CPCPQ Q1 1K KJ J C1C1Q Q0 0K KJ J C1C1Q Q2 2K K& & J J C1C1第42页/共86页

35、 设计一个序列脉冲检测电路,当连续输入设计一个序列脉冲检测电路,当连续输入111时,电路的输出为时,电路的输出为1,其它情况下输出为其它情况下输出为0。例例3 31 1、分析题意,建立原始状态图、分析题意,建立原始状态图S0初态初态 S11S211 S3111S0S1S2S3X/YS0/00/00/01/01/01/11/10/02 2、状态化简:状态化简:以状态等价为基础以状态等价为基础S2SS10X/YS0/00/01/01/00/01/1状态化简就是将多个等价状态化简就是将多个等价状态合并成一个状态,把状态合并成一个状态,把多余的状态都去掉,从而多余的状态都去掉,从而得到最简的状态图得到

36、最简的状态图。第43页/共86页3 3、状态编码状态编码该电路有该电路有3个状态,可以用个状态,可以用2位二进制代码组合位二进制代码组合(00、01、10、11)中的)中的 三个代码表示。三个代码表示。S2SS10X/YS0/00/01/01/00/01/11/00/01/00/00/0X/Y1/1Q1Q0000110S0=00S1=01S2=104 4、选择触发器的类型和个数选择触发器的类型和个数选用选用2 2个个CPCP下降沿触发的下降沿触发的JKJK触发器,分别用触发器,分别用Q Q0 0、Q Q1 1表示。采用同表示。采用同步方案。步方案。第44页/共86页1/00/01/00/00/

37、0X/Y1/1Q1Q0000110 输 入 现 态 次 态 输 出 X nnQQ01 1011nnQQ Y 0 0 0 0 1 1 1 1 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 0 0 0 0 0 0 1 驱动信号 J1 K1 J0 K0 0 0 1 0 1 0 0 1 0 1 1 0 XKXQJKQXJnn101010 1 nXQY15 5、根据状态表写出状态表和激励表、根据状态表写出状态表和激励表第45页/共86页7 7、电路图、电路图XKXQJKQXJnn101010 1 YFF0 FF11XQ1Q1 1J C11K

38、1J C11K&Q0Q0CP&1&6 6、检查电路能否自启动、检查电路能否自启动 001110 0/0 1/1 将无效状态将无效状态1111代入输出方程和状态方程计算代入输出方程和状态方程计算:电路能够自启动。电路能够自启动。nnnnnnnXQQXQQQQXQ110110110nXQY1第46页/共86页智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它的前端有一个的前端有一个接触传感器接触传感器,当遇到障碍物时传感信号,当遇到障碍物时传感信号X=1,否则传感信号,否则传感信号X=0。它有两个控制信号。它有两个控

39、制信号Z1和和Z0控制脚轮行走,控制脚轮行走, Z1=1时控制机器人左时控制机器人左转,转,Z0=1时控制机器人右转,时控制机器人右转,Z1Z0=00时控制机器人直行。机器人遇到时控制机器人直行。机器人遇到障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测到障碍物时直行;若上一次是右转,则这一次左转,直到未探测到障碍到障碍物时直行;若上一次是右转,则这一次左转,直到未探测到障碍物时直行。试用物时直行。试用D触发器设计一个机器人控制器,控制机器人的行走方式。触发器设计一个机器人控制器,控制机器人的行走方式。 例例4 4解:

40、根据题意,机器人有以下四种可能的工作状态:解:根据题意,机器人有以下四种可能的工作状态: 当前直行,但上一次是左转当前直行,但上一次是左转S0; 探测到障碍物,右转探测到障碍物,右转S1; 当前直行,但上一次是右转当前直行,但上一次是右转S2; 探测到障碍物,左转探测到障碍物,左转S3。 1/101/101/011/010/000/000/000/000/000/00S S0 0S S3 3S S2 2S S1 1X/ZX/Z1 1Z Z0 00/000/001/011/011/101/10第47页/共86页11/1000/001111/1010/001001/0110/000101/0100

41、/000010 XQ1nQ0n XQ1nQ0n01S0S0 /00S1/01S1S2 /00S1 /01S2S2 /00S3 /10S3S0 /00S3 /10S0=00S1=01S2=10S3=111/101/101/011/010/000/000/000/000/000/00S S0 0S S3 3S S2 2S S1 1X/ZX/Z1 1Z Z0 00/000/001/011/011/101/100000010100 01 11 1001nnQQ01X1Z00 01 11 1001nnQQ01X0Z01010000第48页/共86页xQ1nQ0nQ1n+1Q0n+1D1D00000000

42、001101001010100110000100010110101011101111111111100I0011100 01 11 1001nnQQ01X1D0101010100 01 11 1001nnQQ01X0D时序逻辑图(略)第49页/共86页在数字电路中,用来存放二进制数据或代码的电路称为在数字电路中,用来存放二进制数据或代码的电路称为寄存器寄存器。寄存器是由具有存储功能的触发器组合起来构成的。一个触发器可以存储寄存器是由具有存储功能的触发器组合起来构成的。一个触发器可以存储1位位二进制代码,存放二进制代码,存放n位二进制代码的寄存器,需用位二进制代码的寄存器,需用n个触发器来构成。

43、个触发器来构成。寄存器寄存器基本寄存器基本寄存器移位寄存器移位寄存器只能并行送入数据,需要时也只能并行输出只能并行送入数据,需要时也只能并行输出可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入、并可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。行输入、并行输出,十分灵活,用途也很广。6.5 6.5 若干典型的时序逻辑集成电路若干典型的时序逻辑集成电路6.5.1 6.5.1 寄存器和移位寄存器(了解功能)寄存器

44、和移位寄存器(了解功能)第50页/共86页1 寄存器寄存器特点:特点:只能寄存数据只能寄存数据,其特点是其特点是:数据并行输入、并行输出。数据并行输入、并行输出。 CP 1 OE 1 E 1 E 1 E Q1 Q7 Q0 D1 D7 D0 1D C1 1 1D C1 1D C1 寄存器:用来存储逻辑运算后的结果,也就是逻辑加、减、与、和之后的寄存器:用来存储逻辑运算后的结果,也就是逻辑加、减、与、和之后的运算结果运算结果锁存器:用来控制数据的传送,一般会有一个引脚来控制电平的输出。锁存器:用来控制数据的传送,一般会有一个引脚来控制电平的输出。第51页/共86页2 移位寄存器移位寄存器1 1基本

45、移位寄存器(1)右移寄存器()右移寄存器(D触发器组成的触发器组成的4位右移寄存器)位右移寄存器)右移寄存器的结构特点:左边触发器的输出端接右邻触发器的输入端。右移寄存器的结构特点:左边触发器的输出端接右邻触发器的输入端。移位寄存器移位寄存器不但可以寄存数码,而且在移位脉冲作用下,寄存器中的数码可根不但可以寄存数码,而且在移位脉冲作用下,寄存器中的数码可根据需要据需要向左向左/向右向右移动移动1位或位或并行并行/串行串行数据的转换、数据的转换、数据处理数据处理功能。功能。第52页/共86页QRC11D1DC1RQ1DC1RQ1DQRC1Q0Q1Q2Q3CPCRID串行输入串行输出D0D1D20

46、FF1FF2FF3FF并 行 输 出D3 设移位寄存器的初始状态为设移位寄存器的初始状态为XXXX,串行输入数码,串行输入数码DI=1101,从高位到,从高位到低位依次输入。其状态表如下:低位依次输入。其状态表如下:移位脉冲移位脉冲输入数码输入数码输输 出出CPDIQ0 Q1 Q2 Q30X X X X111 X X X1 1 X X12030 1 1 X141 0 1 1经过经过4 4个个CPCP,串行输入数据变,串行输入数据变成并行输出数据。成并行输出数据。从第从第5 5个个CPCP,寄存器的最右边,寄存器的最右边又可以得到串行输出。又可以得到串行输出。第53页/共86页(2)左移寄存器)

47、左移寄存器左移寄存器的结构特点:右边触发器的输出端接左邻触发器的输入端。左移寄存器的结构特点:右边触发器的输出端接左邻触发器的输入端。 2 双向移位寄存器双向移位寄存器将右移寄存器和左移寄存器组合起来,并引入一控制端将右移寄存器和左移寄存器组合起来,并引入一控制端S便构成既可左移又可便构成既可左移又可右移的双向移位寄存器右移的双向移位寄存器第54页/共86页D触发器组成的双向移位寄存器:触发器组成的双向移位寄存器:当S=1时,D0=DSR、D1=Q0、D2=Q1、D3=Q2,实现右移操作;其中,DSR为右移串行输入端,DSL为左移串行输入端。当S=0时,D0=Q1、D1=Q2、D2=Q3、D3

48、=DSL,实现左移操作。第55页/共86页三、集成移位寄存器三、集成移位寄存器7419474194为四位双向移位寄存器为四位双向移位寄存器DSL 和DSR分别是左移和右移串行输入。D0、D1、D2 2和D3是并行输入端。Q0和Q3分别是左移和右移时的串行输出端,Q0、Q1、Q2和Q3为并行输出端。第56页/共86页输输 入入输输 出出工作模式工作模式清零清零控控 制制串行输入串行输入时钟时钟并行输入并行输入RDS1 S0DSL DSRCPD0 D1 D2 D3Q0 Q1 Q2 Q30 0 0 0 0异步清零异步清零10 0 Q0n Q1n Q2n Q3n保保 持持110 10 1 1 0 1

49、Q0n Q1n Q2n0 Q0n Q1n Q2n右右 移移111 01 01 0 Q1n Q2n Q3n 1Q1n Q2n Q3n 0左左 移移11 1 D0 D1 D2 D3D0 D1 D2 D3并行置数并行置数74194的功能表第57页/共86页四、MSIMSI寄存器的应用1. 1. 环形计数器环形计数器特点:特点:电路简单,电路简单,N位移位寄存器可以计位移位寄存器可以计N个数,实现模个数,实现模N的环形计数器。的环形计数器。MSIMSI寄存器模块的用途很广泛寄存器模块的用途很广泛, ,除了比较常用的存储数据和串行除了比较常用的存储数据和串行/ /并行数据转换以外,并行数据转换以外,还有

50、环形计数器、扭环形计数器、序列发生与检测等还有环形计数器、扭环形计数器、序列发生与检测等第58页/共86页2扭环形计数器扭环形计数器为了增加有效计数状态,扩大计数器的模,可用扭环形计数器。为了增加有效计数状态,扩大计数器的模,可用扭环形计数器。一般来说,一般来说,N位移位寄存器可以组成模位移位寄存器可以组成模2N的扭环形计数器,只需将末级输出反相的扭环形计数器,只需将末级输出反相后,接到串行输入端。后,接到串行输入端。第59页/共86页3.3.序列检测序列检测QD1SR013SQQSSLD74194DRDDCPQ02D1D3201011Y只有当只有当DSR 、Q0、Q1、Q2、Q3分别为分别为

51、1、1、0、1、1时时,输出输出Y才为才为1,因此可以用这一电路检测序列因此可以用这一电路检测序列11011。第60页/共86页在数字电路中,用来统计输入脉冲个数的电路称为计数器。在数字电路中,用来统计输入脉冲个数的电路称为计数器。计计数数器器同步计数器同步计数器异步计数器异步计数器加法计数器加法计数器减法计数器减法计数器可逆计数器可逆计数器6.5.2 6.5.2 计数器(掌握)计数器(掌握)按照按照CP按照编码按照编码计数过程中数字计数过程中数字增减趋势增减趋势二进制计数器二进制计数器(十进制)(十进制)BCD码计数器码计数器循环码计数器循环码计数器按照计数按照计数器的容量器的容量五进制计数

52、器五进制计数器七进制计数器七进制计数器二十进制计数器二十进制计数器第61页/共86页1.二进制计数器(模为二进制计数器(模为2N)1二进制异步计数器二进制异步计数器以以3位二进制加法计数器为例位二进制加法计数器为例 000001010011 111110101100 nnnQQQ012 状状态态图图000001010011100101110111各个触发器的状态方程:各个触发器的状态方程:nnQQ1时钟脉冲时钟脉冲CP方程:方程:CPCP 0nQCP01选用选用3个个CP下降沿触发的下降沿触发的JK触发器,分别用触发器,分别用Q0、Q1、Q2表示。表示。各个触发器的驱动方程:各个触发器的驱动方

53、程:1 KJnQCP12假如是假如是D触发器?触发器?假如是上升假如是上升沿沿JK触发器?触发器?第62页/共86页时钟方程时钟方程: CP Q0 Q1 Q2 时时序序图图Q0每输入一个时钟脉冲翻转一次,每输入一个时钟脉冲翻转一次,Q1在在Q0由由1变变0时翻转时翻转,Q2在在Q1由由1变变0时翻转。时翻转。nnQCPQCPCPCP12010111221100KJKJKJ Q0 Q1 Q2 1 FF0 FF1 FF2 CP 1J C1 1K 1J C1 1K 1J C1 1K 电路图电路图由于没有无效状态,电路能自启动。由于没有无效状态,电路能自启动。Q0是二分频;是二分频;Q1是四分频;是四

54、分频;Q2是八分频。是八分频。第63页/共86页3位二进制异步减法计数器位二进制异步减法计数器状状态态图图选用选用3个个CP下降沿触发的下降沿触发的JK触发器,分别用触发器,分别用Q Q0 0、Q Q1 1、Q Q2 2表示。表示。000111110101100011010001各个触发器的状态方程:各个触发器的状态方程:nnQQ1时钟脉冲时钟脉冲CP方程:方程:CPCP 0nQCP01各个触发器的驱动方程:各个触发器的驱动方程:1 KJnQCP12CPQ0Q1Q2时时序序图图第64页/共86页2二进制同步计数器二进制同步计数器以以3位二进制加法计数器为例位二进制加法计数器为例选用选用3个个C

55、P下降沿触发的下降沿触发的JK触发器,分别用触发器,分别用Q0、Q1、Q2表示。表示。CPCPCPCP210时钟方程:时钟方程:000001010011100101110111各个触发器的驱动方程:各个触发器的驱动方程:nnnQQKJQKJKJ0122011001时时序序图图 CP Q0 Q1 Q2 Q0每输入一个时钟脉冲翻转一次;每输入一个时钟脉冲翻转一次;Q1在在Q0=1时,在下一个时,在下一个CP触发沿到来时翻转。触发沿到来时翻转。Q2在在Q0=Q1=1时,在下一个时,在下一个CP触发沿到来时翻转触发沿到来时翻转。第65页/共86页3位二进制同步减法计数器位二进制同步减法计数器选用选用3

56、个个CP下降沿触发的下降沿触发的JK触发器,分别用触发器,分别用Q0、Q1、Q2表示。表示。CPCPCPCP210时钟方程:时钟方程:000111110101100011010001nnnQQKJQKJKJ0122011001驱动方程:驱动方程:时时序序图图 CP Q0 Q1 Q2 第66页/共86页2.2.集成计数器集成计数器1.1.优点:体积小,功耗低,功能灵活。优点:体积小,功耗低,功能灵活。2.2.几种常用的集成计数器:几种常用的集成计数器:一、一、74LVC16174LVC161 是是4位集成二进制同步加法计数器,具有位集成二进制同步加法计数器,具有计数、保持、预置、计数、保持、预置

57、、异步(低电平)清零异步(低电平)清零功能,功能, 74161 Q0 Q1 Q2 Q3 (b) 逻辑功能示意图 (a) 引脚排列图 16 15 14 13 12 11 10 9 74161 1 2 3 4 5 6 7 8 VCC TC Q0 Q1 Q2 Q3 CET PE CR CP D0 D1 D2 D3 EP GND CR D0 D1 D2 D3 CET CEP CP RCO PE 第67页/共86页1、异步清零。与时钟无关,所以时间短暂。、异步清零。与时钟无关,所以时间短暂。2、同步并行置数。时钟处于上升沿。、同步并行置数。时钟处于上升沿。3、两个输入使能端只要有一个为低电平,不管时钟,

58、此时数据保持。、两个输入使能端只要有一个为低电平,不管时钟,此时数据保持。注意:注意:CEP=0,CET=1,进位输出,进位输出TC也保持不变。也保持不变。 CET=0,不管,不管CEP如何,进位如何,进位TC=0。 TC=CET Q0 Q1Q2 Q3,主要用来作为芯片功能的扩展。主要用来作为芯片功能的扩展。4、计数。、计数。01111CR清零0111PE预置 0 01 1CEP CET使能CP时钟 D3 D2 D1 D0 D3 D2 D1 D0预置数据输入0 0 0 0D3 D2 D1 D0保 持保 持计 数Q3 Q2 Q1 Q0输出工作模式异步清零同步置数数据保持数据保持加法计数74LVC

59、16174LVC161的功能表第68页/共86页二、集成计数器二、集成计数器74161的应用的应用现有的现有的74161是是16进制计数器构成进制计数器构成N进制计数器时,如果进制计数器时,如果N16,则需要多片。分别介绍:则需要多片。分别介绍:举例:用举例:用74161组成组成六进制六进制计数器计数器实现方法有两种:反馈清零法和反馈置数法实现方法有两种:反馈清零法和反馈置数法(1)反馈清零法)反馈清零法适用于具有适用于具有异步清零端异步清零端的集成计数器的集成计数器1、用一片、用一片74161来设计小于来设计小于16的计数器。的计数器。1QDCRCETCEP74161TC33QD211QPE

60、00QCPDD1计数脉冲200000000000100100011010001010110第69页/共86页(2 2)反馈预置法适用于具有)反馈预置法适用于具有同步预置端同步预置端的集成计数器。的集成计数器。1001QD1CRCETCEP74161TC3QD2211QPE01QCPD3D0计数脉冲13Q1011010011101Q1010Q1Q1110211111100例例1 1:用集成计数器:用集成计数器7416174161和与非门组成的和与非门组成的7 7进制进制计数器。计数器。3Q0101000110111Q0100Q1Q10002100101100011QD1CRCETCEP74161

61、TC3QD2211QPE01QCPD3D0计数脉冲第70页/共86页练习:练习: 试分析图示电路,画出它的状态图,说明它是几进制计数器。试分析图示电路,画出它的状态图,说明它是几进制计数器。QD1CRCETCEP74161TC3QD2211QPE01QCPD3D0计数脉冲01111CPD0D1D2D374161CEPCRPE3Q2QCETTC1Q0Q11计数脉冲&第71页/共86页同步计数器有进位输出端,可以选择合适的进位输出信号来驱动下一级计同步计数器有进位输出端,可以选择合适的进位输出信号来驱动下一级计数器计数。计数器级联的方式有两种,数器计数。计数器级联的方式有两种,一种级间采用并行进位

62、方式,即同步方一种级间采用并行进位方式,即同步方式式,这种方式一般是把各计数器的这种方式一般是把各计数器的CPCP端连在一起接统一的时钟脉冲,而低位端连在一起接统一的时钟脉冲,而低位计数器的进位输出送高位计数器的计数控制端计数器的进位输出送高位计数器的计数控制端; ;另一种级间采用串行进位另一种级间采用串行进位方式,即异步方式,方式,即异步方式,这种方式是将低位计数器的进位输出直接作为高位这种方式是将低位计数器的进位输出直接作为高位计数器的时钟脉冲,异步方式的速度较慢。计数器的时钟脉冲,异步方式的速度较慢。2、用多片、用多片74161来设计大于来设计大于16的计数器。的计数器。 D C B A

63、 EP ET CP EP ET CP RCO LD RD 74LS161(0) QD QC QB QA D C B A EP ET CP 1 1 1 RCO LD RD QD QC QB QA 1 1 RCO LD RD QD QC QB QA D C B A 1 1 74LS161(1) 74LS161(2) 并行进位(同步方式)并行进位(同步方式)第72页/共86页串行进位(异步方式)串行进位(异步方式)在同步接线方式中,一旦片在同步接线方式中,一旦片0的各位输出都为的各位输出都为1,片,片1立即可以接收进立即可以接收进位信号进行计数;在异步接法中,片位信号进行计数;在异步接法中,片0需要

64、经历片需要经历片1的传输延迟,的传输延迟,只有片只有片0输出翻转为输出翻转为0时,时,CP1此时由此时由01,才开始翻转。所以同步工作,才开始翻转。所以同步工作速度较高。一般情况下,采用同步连接方式。速度较高。一般情况下,采用同步连接方式。1QAQBQDQCRCOETEPLDRDDCBACP1174LS161 (1)QAQBQDQCRCOETEPLDRDDCBACP74LS161 (2)1111CP第73页/共86页2片片74LS161级联级联M=(147)10=(10010011)2同同步步级级联联0000000010010010&QAQBQDQCRCOETEPLDRDDCBACP1174L

65、S161 (1)CPQAQBQDQCRCOETEPLDRDDCBACP74LS161 (2)11第74页/共86页异异步步级级联联&000000001QAQBQDQCRCOETEPLDRDDCBACP1174LS161 (1)QAQBQDQCRCOETEPLDRDDCBACP74LS161 (2)1111CP0000000010010010第75页/共86页本章小结本章小结1.时序电路的分析(同步时序电路的分析(同步moore、同步、同步mealy、异步、异步moore)2.同步时序电路的设计(计数器、脉冲检测器)同步时序电路的设计(计数器、脉冲检测器)3.寄存器:基本寄存器(了解)和移位寄存

66、器。寄存器:基本寄存器(了解)和移位寄存器。器件:器件:74149的应用:环形计数器和扭环形计数器。的应用:环形计数器和扭环形计数器。4.计数器:分类,二进制计数器设计原理计数器:分类,二进制计数器设计原理器件:器件:74161的应用(利用清零端或置数控制端)的应用(利用清零端或置数控制端)集成计数器还可以与显示译码器、显示数码管连接在一起,集成计数器还可以与显示译码器、显示数码管连接在一起,组成数字时钟。组成数字时钟。第76页/共86页JJKKCPCPQ0Q1ACPZ11 类型: 同步mealy2 写方程组: 1K K 101101010110101101010nnnnnnnnnnnnnnnQQQAQQQQAQQQQJQAQJQAQZ)(状态方程:驱动方程:输出方程:第77页/共86页3 写状态表:0 00 11 01 110AZQQnn/1011nnQQ0101/110/100/100/101/111/100/100/04 根据状态表画状态图 1011011010nnnnnnnnQQQAQQQQAQZ)(A/Z00010/11/11/10/1100/11/1110/11/0第78页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!