福建师范大学21春《EDA技术》在线作业一满分答案74

上传人:住在****她 文档编号:97728425 上传时间:2022-05-27 格式:DOCX 页数:13 大小:15.91KB
收藏 版权申诉 举报 下载
福建师范大学21春《EDA技术》在线作业一满分答案74_第1页
第1页 / 共13页
福建师范大学21春《EDA技术》在线作业一满分答案74_第2页
第2页 / 共13页
福建师范大学21春《EDA技术》在线作业一满分答案74_第3页
第3页 / 共13页
资源描述:

《福建师范大学21春《EDA技术》在线作业一满分答案74》由会员分享,可在线阅读,更多相关《福建师范大学21春《EDA技术》在线作业一满分答案74(13页珍藏版)》请在装配图网上搜索。

1、福建师范大学21春EDA技术在线作业一满分答案1. 不符合1989VHDL标准的标识符是( )。A.a_1_inB.a_in_2C.2_aD.asd_1参考答案:C2. 已知三相对称Y形负载无中线电路,Up=220V,Z=(6+j8),试求A相负载短路和开路时各相电流。已知三相对称Y形负载无中线电路,Up=220V,Z=(6+j8),试求A相负载短路和开路时各相电流。设,则线电压 (1)A相短路时,等效电路如图a所示。 (2)A相开路时,等效电路如图b所示。 3. 有了外模式模式映象,可以保证数据和应用程序之间的物理独立性。( )此题为判断题(对,错)。参考答案:错4. 在Windows窗口的

2、任务栏中有多个应用程序按钮图标时,其中代表应用程序窗口是当前窗口的图标呈现为_状态。A、高亮B、灰化C、压下D、起正确答案:C5. 如果只想打印第二到第三页,可在( )对话框中进行设置。 A) 页面设置 B) 打印 C) 打印预览 D) 打印预览中如果只想打印第二到第三页,可在()对话框中进行设置。A) 页面设置B) 打印C) 打印预览D) 打印预览中设置按钮C6. Verilog HDL支持循环语句。( )A.正确B.错误参考答案:A7. 下列8位二进制数的补码,最大的是( )A.10001000B.11111111C.00000000D.00000001下列8位二进制数的补码,最大的是(

3、)A.10001000B.11111111C.00000000D.00000001正确答案:D8. 专家系统各项技术应用到了( ) ,就形成了农业专家系统。 A.生产领域 B.农业领域 C.信息领域专家系统各项技术应用到了( ) ,就形成了农业专家系统。A.生产领域B.农业领域C.信息领域D.管理领域参考答案:B9. 已知(AX)=1234H,执行下述三条指令后,AX=( )A.1234HB.0EDCCHHC.6DCCHD.0已知(AX)=1234H,执行下述三条指令后,AX=( )A.1234HB.0EDCCHHC.6DCCHD.0正确答案:D10. 计算机网络是现代通信技术与计算机技术密切

4、结合的产物。( )此题为判断题(对,错)。参考答案:正确11. 为了分析方便,常把电路分为内电路和外电路;从电源一端经过负载再回到电源另一端的这部分电路称为_;电为了分析方便,常把电路分为内电路和外电路;从电源一端经过负载再回到电源另一端的这部分电路称为_;电源内部的通路称为_。外电路$内电路12. 目前常用的硬件描述语言为:Verilog HDL和VHDL。( )A.正确B.错误参考答案:A13. 代码优化的主要目标是( ) 如何提高目标程序的运行速度 如何减少目标程序运行所需的空间 如何协调代码优化的主要目标是()如何提高目标程序的运行速度如何减少目标程序运行所需的空间如何协调和如何使生成

5、的目标代码尽可能简短A,B,C,D,A14. 电容器可分为固定电容、可变电容和电解电容。其中电解电容有正负极之分。( )电容器可分为固定电容、可变电容和电解电容。其中电解电容有正负极之分。()正确15. android 中文件操作模式中表示只能被本应用使用,写入文件会覆盖的是?( )A.MODE_APPENDB.MODE_WORLD_READABLEC.MODE_WORLD_WRITEABLED.MODE_PRIVATE参考答案:D16. 绝大多数的FPGA器件都基于SRAM查找表结构实现。( )A.正确B.错误参考答案:A17. X62W铣床工作台没采取制动措施,是因为( )。 A惯性小 B

6、转速不高而且有丝杠传动 C有机械制动 D不需要X62W铣床工作台没采取制动措施,是因为()。A惯性小B转速不高而且有丝杠传动C有机械制动D不需要C18. VHDL文本编辑中编译时出现如下的报错信息:Error:Line1,File e:muxfilemux21.tdf:TDF syntax error 其错误原因是( )。A.错将设计文件的后缀写成.tdf而非.vhdB.错将设计文件存入了根目录,并将其设定成工程C.设计文件的文件名与实体名不一致D.程序中缺少关键词参考答案:A19. 数据链路层的数据传输单元( )A、数据帧B、比特流C、分组D、报文参考答案A20. 下列关于计算机病毒的叙述中

7、,正确的选项是 (A) 计算机病毒只感染.exe或.com文件 (B) 计算机病毒可以通过下列关于计算机病毒的叙述中,正确的选项是(A) 计算机病毒只感染.exe或.com文件(B) 计算机病毒可以通过读写软件、光盘或Internet网络进行传播(C) 计算机病毒是通过电力网进行传播的(D) 计算机病毒是由于软件片表面不清洁而造成的B21. 32若A1单元格中为数值10,B1中为¥34.50,C1单元格中输入公式=A1+B1,则C1中显示的结果为_。 A44.50 B32若A1单元格中为数值10,B1中为¥34.50,C1单元格中输入公式=A1+B1,则C1中显示的结果为_。A44.50B¥4

8、4.50C0D#VALUEB22. ASIC电路特点描述正确的是( )。A.周期长B.投入高C.功耗低D.省面积参考答案:ABCD23. 要查看网络地址转换条目,可以执行_命令。要查看网络地址转换条目,可以执行_命令。参考答案:show ip nat translations24. 画图程序在_。 A“控制面板”的“系统”中 B“控制面板”的“显示”中 C“程序”的“附件”中 D“程序”的“应画图程序在_。A“控制面板”的“系统”中B“控制面板”的“显示”中C“程序”的“附件”中D“程序”的“应用程序”中C25. 有限状态机的复位分为两种:同步复位和异步复位。( )A.正确B.错误参考答案:A

9、26. 采用压流源等效变换的方法化简电路时,“等效”是指对电路中的_在变换前后等效,而对其他部分不等效。 A采用压流源等效变换的方法化简电路时,“等效”是指对电路中的_在变换前后等效,而对其他部分不等效。A不参与变换的电路部分(外电路)B参与变换的电路部分(内电路)A27. (Excel电子表格)在Excel工作表中可以完成超过四个关键字的排序。( )此题为判断题(对,错)。参考答案:错28. 在Word2003中,有关“节”的下列说法错误的是_A.可以给每一个节设置不同的页面格式B.可以以节为单位给文档进行分栏操作C.每一节的页眉页脚和其他节必须相同D.分节是在文档中插入分节符来实现的参考答

10、案:C29. 正弦相量与复数有否区别?正弦相量与复数有否区别?正弦相量是时间t的函数;复数是一个数,不是时间t的函数;复数与正弦相量是两个完全不同的数学概念。为表示正弦相量与复数的区别,在正弦相量上加“”,以示与复数和有效值的区别。 正弦相量虽然是时间t的函数,但仅表示了正弦量三要素中的二个要素,未表达出其角频率要素。用相量或复数表示正弦量,仅是表示而已。主要是借助其运算方法,便于解决正弦量之间的加减乘除问题。 30. 目前在数字系统的设计中,主要采用Bottom-UP设计为主。( )A.正确B.错误参考答案:B31. CAE是Computer Aided Engineering,计算机辅助工

11、程的缩写。( )A.正确B.错误参考答案:A32. 磁道1可记录数字(09)、字母(az)和其它一些符号(如括号、分隔符等),最大可记录79个数字或字母。磁道1可记录数字(09)、字母(az)和其它一些符号(如括号、分隔符等),最大可记录79个数字或字母。( )参考答案:对33. 欲测量380V电动机相间绝缘电阻,应选用( )。 A万用表 B单臂电桥 C双臂电桥 D兆欧表欲测量380V电动机相间绝缘电阻,应选用()。A万用表B单臂电桥C双臂电桥D兆欧表D34. 资源管理器左边的窗格图标中有“”表示该文件夹有_。资源管理器左边的窗格图标中有“+”表示该文件夹有_。子文件夹35. STD_LOGI

12、G_1164中定义的高阻是字符( )。A.XB.xC.zD.Z参考答案:D36. 以下不属于包过滤防火墙的作用的是( )A.过滤进出网络的数据B.管理进出网络的访问行为C.封堵某些禁止的行为D.对用户类型进行限制参考答案:D37. 段式和页式存储管理的地址结构很类似,但是他们之间有实质上的不同,表现为( )。A.页式的逻辑地址是连续的,段式的逻辑地址可以不连续B.页式的地址是一维的,段式的地址是二维的C.分页是操作系统进行,分段是用户确定D.各页可以分散存放在主存,每段必须占用连续的主存空间E.页式采用静态重定位方式,段式采用动态重定位方式参考答案:ABCD38. SP 的内容在任何时候都指向

13、当前的栈顶, 要指向堆栈的其他位置,可以使用BP 指针( )此题为判断题(对,错)。参考答案:对39. 数据压缩算法可分无损压缩和_压缩两种。数据压缩算法可分无损压缩和_压缩两种。有损被压缩数据在还原后与压缩前完全一样的压缩算法称为无损压缩,而还原后不可能与压缩前完全一样的压缩算法称为有损压缩。40. 工厂电力线路有_、_和_等基本结线方式。工厂电力线路有_、_和_等基本结线方式。放射式$树干式$环状41. 与十六进制数AF等值的十进制数是( )。 (A) 175 (B) 176 (C) 177 (D) 188与十六进制数AF等值的十进制数是()。(A) 175(B) 176(C) 177(D

14、) 188A42. 可将高级语言源程序转换为目标程序的是_。 A调试程序 B解释程序 C编译程序 D编辑程序可将高级语言源程序转换为目标程序的是_。A调试程序B解释程序C编译程序D编辑程序BC43. 以下选项不属于Python整数类型的是:( )。A.二进制B.十进制C.八进制D.十二进制正确答案:D44. 有一均匀激励连续工作行波激光放大器,工作物质具有多普勒非均匀加宽线型,长为l,中心频率小信号增有一均匀激励连续工作行波激光放大器,工作物质具有多普勒非均匀加宽线型,长为l,中心频率小信号增益系数为gm,损耗系数为,gm。入射光光强为I0,输出光光强为Il。令0=I0Is,l=IlIs试求:

15、 (1)入射光频率为中心频率v0时,1和0的关系式; (2)入射光频率为v(vv0)时l和0的关系式。正确答案:(1)入射光频率为中心频率v0时:rn 令工作物质内光强为I=IIs遂有rnrn 由式(519)可得rnrn经整理可得1和0的关系式rnrn(2)入射光频率为v时rnrn由上式可得rnrn采取和(1)相同的步骤可得1和0的关系式rn(1)入射光频率为中心频率v0时:令工作物质内光强为I,=IIs,遂有由式(519)可得经整理,可得1和0的关系式(2)入射光频率为v时由上式可得采取和(1)相同的步骤,可得1和0的关系式45. 如果一副图像能够表示的颜色有256256256种,则该图像的

16、量化位数是( )位。A)24B)32C)16D)10如果一副图像能够表示的颜色有256256256种,则该图像的量化位数是( )位。A)24B)32C)16D)10标准答案:A46. 在VHDL中,加“+”和减“-”算术运算的操作数据是( )数据类型。A.整型B.实型C.整形或实型D.任意类型参考答案:A47. 按灯具的结构特点分,有_、_、_、_和_。按灯具的结构特点分,有_、_、_、_和_。开启型$闭合型$密闭型$增安型$隔爆型48. 常用的集成FPGA/CPLD开发工具有哪些( )。A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER参考答案:ABCD49.

17、SPLD器件分为几类( )。A.PROMB.PLAC.PALD.GAL参考答案:ABCD50. 创建子报表的方法有两种:在已建好的报表中添加“子窗体子报表”控件,也可以将_直接添加到其他报表中。创建子报表的方法有两种:在已建好的报表中添加“子窗体子报表”控件,也可以将_直接添加到其他报表中。现有的报表51. 在资源管理器窗口中,用户如果要选择多个相邻的文件图标,则先选中第一个,然后按住_键,再选择这组文件中在资源管理器窗口中,用户如果要选择多个相邻的文件图标,则先选中第一个,然后按住_键,再选择这组文件中要选择的最后一个文件图标。Shift在资源管理器窗口中,Shift+单击另一个项目,则可选

18、中第一个项目到该项目间的一组连续项目;Ctrl+单击另一个项目,则可选中第一个项目和该项目组成的不连续的项目组。52. 已知两个正弦电流频率均为50Hz,i1的最大值为5A,初相位为30,i2的最大值为10A,初相位为60。试求:两个正弦电已知两个正弦电流频率均为50Hz,i1的最大值为5A,初相位为30,i2的最大值为10A,初相位为60。试求:两个正弦电流的瞬时表达式;两个正弦电流的相位差。i1的瞬时表达式为 i1=5sin(314t/s+30)A i2的瞬时表达式为 i2=10sin(314t/s+60)A i1与i2的相位差为 12=1-2=30-60=-30 电流i1相位滞后电流i2

19、相位30;也可以说电流i2相位超前电流i1相位30。 53. 选用下列哪一指令,可修改动画的播放速度?( )A、文件保存B、编辑撤消C、修改文档D、修改元件正确答案:C54. L=0.1H的电感,在工频下的感抗为_,在1kHz时的感抗为_;C=10F的电容,在工频下的容抗为_,在1kHL=0.1H的电感,在工频下的感抗为_,在1kHz时的感抗为_;C=10F的电容,在工频下的容抗为_,在1kHz时的容抗为_。31.4$628$318.5$15.955. 信息技术与课程整合的常用模式有 :接受式教学模式、探究式教学模式、( )。信息技术与课程整合的常用模式有 :接受式教学模式、探究式教学模式、(

20、 )。参考答案:研究式教学模式56. Verilog HDL支持赋值语句。( )A.正确B.错误参考答案:A57. 误用入侵检测技术的核心问题是( )的建立以及后期的维护和更新。A.异常模型B.规则集处理引擎去C.网络攻击特征库D.审计日志参考答案:C58. 以下哪一个网络设备不是组成局域网必须的( )A.路由器B.工作站C.适配器D.传输介质参考答案:A59. 在潮湿、尘土多、有腐蚀性气体、易引起火灾和易受风雨侵占蚀的环境中,应选用( )。 A防护式电动机 B开启式在潮湿、尘土多、有腐蚀性气体、易引起火灾和易受风雨侵占蚀的环境中,应选用()。A防护式电动机B开启式电动机C封闭式电动机D防爆式电动机C60. 变量和信号的描述正确的是( )。A.变量赋值符号是B.信号赋值符号是C.变量赋值符号是D.二者没有区别参考答案:A

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!