EDA技术和FPGA应用设计交通灯控制器

上传人:仙*** 文档编号:89606316 上传时间:2022-05-13 格式:DOC 页数:9 大小:842KB
收藏 版权申诉 举报 下载
EDA技术和FPGA应用设计交通灯控制器_第1页
第1页 / 共9页
EDA技术和FPGA应用设计交通灯控制器_第2页
第2页 / 共9页
EDA技术和FPGA应用设计交通灯控制器_第3页
第3页 / 共9页
资源描述:

《EDA技术和FPGA应用设计交通灯控制器》由会员分享,可在线阅读,更多相关《EDA技术和FPGA应用设计交通灯控制器(9页珍藏版)》请在装配图网上搜索。

1、 本科课程设计报告课程名称: EDA技术与FPGA应用设计 设计项目:交通灯控制器实验地点:CPLD实验室指导教师: X文爱 2016 年 5月24日一、设计要求设计一个由一条主干道和一条支干道的十字路口的交通灯控制器,具体要求如下:(1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。(2) 主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,由亮绿灯变成亮红灯转换时,先亮5 s的黄灯作为过渡,并进行减计时显示

2、。二、设计方案(1) 设置支干道有车开关SB。(2) 系统中要求有45秒、25秒和5秒三种定时信号,需要设计三种相应的计时显示电路。计时方法为倒计时。定时的起始信号由主控电路给出,定时时间结束的信号输入到主控电路。(3) 主控制电路的输入信号一方面来自车辆检测,另一方面来自45秒、25秒、5秒的定时到信号;输出有计时启动信号(置计数起始值)和红绿灯驱动信号。状态转移如图所示,用状态机描述。三、设计步骤1.编写各个模块的VHDL程序。2.上机调试优化程序。3.程序合成器件模块,并连接原理图。4.编写并下载程序,进行硬件实现。四、模块结构五、模块源程序1. JTDKZLIBRARY IEEE;US

3、E IEEE.STD_LOGIC_1164.ALL;ENTITY JTDKZ IS PORT(CLK,SB,t,RST:IN STD_LOGIC; en,MR,MY,MG,BR,BY,BG: OUT STD_LOGIC; din:out STD_LOGIC_vector(7 downto 0);END ENTITY JTDKZ;ARCHITECTURE ART OF JTDKZ IS TYPE STATE_TYPE IS(A,B,C,D); SIGNAL p_STATE,n_state: STATE_TYPE; BEGINreg:PROCESS(CLK,rst) IS BEGIN if rst

4、=1 then p_STATE=A; ELSIF(CLKEVENT AND CLK=1)THEN p_STATEMR=0; MY=0; MG=1; BR=1; BY=0; BG=0; IF(SB AND t)=1 THEN n_STATE=B; din=00000101; EN=0; ELSE n_STATE=A; din=01000101; ENMR=0; MY=1; MG=0; BR=1; BY=0; BG=0; IF t=1 THEN n_STATE=C; din=00100101; EN=0; ELSE n_STATE=B; din=01000101; ENMR=1; MY=0; MG

5、=0; BR=0; BY=0; BG=1; IF t=1 THEN n_STATE=D; din=00000101; EN=0; ELSE n_STATE=C; din=01000101; ENMR=1; MY=0; MG=0; BR=0; BY=1; BG=0; IF t=1 THEN n_STATE=A;din=01000101; EN=0; ELSE n_STATE=D; din=01000101; EN=1; END IF;END CASE;END PROCESS ;END ARCHITECTURE ART;2.JSQLIBRARY IEEE;USE IEEE.STD_LOGIC_11

6、64.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY jsq IS PORT(en, RST: IN STD_LOGIC; Din: IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLK:IN STD_LOGIC; t: OUT STD_LOGIC; QH, QL:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END ENTITY jsq;ARCHITECTURE ART OF jsq ISBEGINt=1 WHEN (QH=0000 AND QL=0000) ELSE 0;PROCESS(CLK,en

7、,RST) BEGINIF RST=1 THEN QH=0100;QL=0101;ELSIF CLKEVENT AND CLK=1 THEN IF en=0 THEN QH=Din(7 DOWNTO 4); QL=Din(3 DOWNTO 0);elsIF QL=0 THEN QL=1001; IF QH=0 THEN QH=1001; ELSE QH=QH-1; END IF; ELSE QL=QL-1; END IF; END IF;END PROCESS;END ARCHITECTURE ART;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE I

8、EEE.STD_LOGIC_UNSIGNED.ALL;ENTITY work1 ISPORT (CLK : IN STD_LOGIC;RST:IN STD_LOGIC;ENA:IN STD_LOGIC;OUTY:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END work1;ARCHITECTURE BEHAV OF work1 ISSIGNAL CQI: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINP_REG:PROCESS(CLK,RST,ENA)BEGINIF RST = 1THEN CQI = 000

9、0;ELSIF CLK EVENT AND CLK = 1THENIF ENA = 1THEN CQI = CQI + 1;END IF;END IF;OUTY = CQI;END PROCESS P_REG;COUT LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7SNULL;END CASE;END PROCESS;END;六、设计结果编译结果:引脚配置:下载测试:设计结果:七、课设心得这次的课程设计题目是交通信号灯设计,这个题目并不陌生,是这类课程的主要题目,在很多编程的课程中都会出现。所以这次课程设计做的还算是比较顺利,并没有出现特别大的困难,最终也得到了预期的结果。9 / 9

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!