晶圆处理工程用语

上传人:仙*** 文档编号:86353635 上传时间:2022-05-07 格式:DOC 页数:95 大小:993KB
收藏 版权申诉 举报 下载
晶圆处理工程用语_第1页
第1页 / 共95页
晶圆处理工程用语_第2页
第2页 / 共95页
晶圆处理工程用语_第3页
第3页 / 共95页
资源描述:

《晶圆处理工程用语》由会员分享,可在线阅读,更多相关《晶圆处理工程用语(95页珍藏版)》请在装配图网上搜索。

1、 晶圆处理工程用语D1基本、共同用语编号用语(英文/中文)用语说明D1001unloader卸载机、卸货机是将被加工对象(work)从所定位置取出之机构D1002 Indexer指针器,索引器是指发送机(sender)与接收机(receiver)之总称。在处理之前后,亦有使用同一匣盒使遮光罩(mask),晶圆等基板收纳位置不会变化之单面匣式(uni-cassette)方式。D1003Wafer automatic transfer system晶圆自动传送系统是指将晶圆每次一片或每次多片,从匣盒自动转移至各处理装置之装置。此一装置是由匣盒载物台(cassette stage)、晶圆搬运机器人,

2、以及该接口所构成,大都与匣盒搬运机械人搭配使用。D1004Wafer hoist晶圆交接升降装置系指有关晶圆输送机构之晶圆交接升降机构。大都与附属在输送机构先端之晶圆承接臂成对搭配使用,位在交接之制程位置,由晶圆承接部分与驱动该部分之上下机构所组成。D1005Wafer holder晶圆保持器系指有关形成薄膜之半导体制造装置,在各种处理或晶圆输送时,用来保持晶圆之装置部分。D1006X-Y stage/X-Y table从横移动载物台/从横移动载物盘是指可将被加工对象(work)加以从横方向移动,且可决定其精确位置之机构。D1007material safety data sheet材料安全数

3、据清单MSDS是指记录化学物质之物性、毒性、可燃性,反应性及处理方法之安全性数据清单。为确保使用瓦斯或药品处理装置在操作时之安全为目的,通常与使用说明书等附加在一起。D1008Orientation flat arrange equipment晶圆定向平面摆齐装置是指将匣盒内晶圆之定向平面加以摆齐在一个方向之装置。为要检查晶圆转移传送是否确实,或为要使晶圆在各处理装置内之定向决定,能顺利所使用之装置。D1009cassette / magazine晶圆匣盒/晶圆收纳盒是指将晶圆被加工对象整齐加以收纳之装置。为使晶圆加工对象在各制程上能容易进行搭载及卸在载为目的,所使用之匣盒。类同之用语有mag

4、azine一语。D1010cassette-to-cassette handling匣盒间转运处理系指从供给侧晶圆匣盒,将晶圆每次一片自动加以取出,输送至处理室处理后,将晶圆逐片收纳在收纳侧晶圆匣盒之处理方式。D1011Availability利用度,利用率系指针对计划运输时间,实际可正常运输时间之比率。D1012Substrate基板,基片是指成为处理对象之空白遮光罩(mask blank),晶圆等材料总称.编号用语(英文/中文)用语说明D1013Carrier box运载盒指为要输送或保管晶圆之容器.在制造过程上务必保持晶圆不至受到容器排放瓦斯之污染,输送盒材质之鉴定至为重要.目前,输送盒

5、以使用聚丙稀(polypropylene)树脂及聚碳酸脂(polycarbonate)的树脂为主.D1014Cluster tool组合设备公具指将不同装置厂家之设备或不同制程之结合,或能将半导体装置制造商独特之制造模块,加以装配之多加工室(multichamber)制造装置。是以美国半导体制造装置厂家为中心之团体MESA(Modular Equipment Standard Architecture)所提倡者。D1015Pre-purge是指要使用热处理炉、反应室或瓦斯配管系统之前,将纯性瓦斯引进加以净化之操作。D1016Cost of ownership是将半导体制造相关设备之投资,或将营

6、运之经济性评价基准,以经营位皆加以模型化者。将制造装置之寿命周期成本(life cycle cost),以装置价格、生产性、可靠性及成品率等加以考量,而算出每一晶圆良品成本之方法。D1017Magnetic coupled feedthrough磁耦合旋转馈通是指利用N极S极之磁性结合力,将外旋转驱动力传达到真空气氛内之旋转机构。是一种非接触旋转,因多半在真空与大气间隔着一道墙壁之构造,其真空密封寿命为无限大,对超高真空性能之维持很有效。D1018Magnetic levitation transfer磁悬浮输送是指利用磁性反斥力之非接触性输送机构。是由控制磁悬浮之控制电磁铁、线性马达及悬浮体

7、等所构成,例如遮光罩或晶圆等之基片搭载在悬浮体上来移动。在真空中使用时,因属非接触,无振动、无润滑油及全然不产生灰尘,具有可获得洁净真空等大特点。D1019Robot for using in vacuum真空机械人是指在真空室内,为要移送基板单体所使用输送机构之总称。为防止例如遮光罩,晶圆等基板受到微粒之污染,采用振动部极低之机构。就其功能而言,一般具有直进、旋转、上下移动等功能。D1020Throughput生产量,工作数是单位时间内所能处理之遮光罩或晶圆等基板之工作数量。D1021Slow vent缓慢通气是指将真空装置之真空槽,恢复到大气压之过程中,经由调节电导阀,可以很小之导入速度缓

8、慢加以通气。其目的在于防止微粒飞扬。Soft vent软性通气编号用语(英文/中文)用语说明D1022Slow pumping/slow roughing缓慢排气是指将真空装置从大气压开始真空排气过程中,经由调节电导阀以很小之排气速度缓慢加以排气。其目的在于防止微粒飞扬。类似之用语有软性排气(soft roughing)。Soft roughmg软性排气D1023Electrostatic chuck静电夹头,静电夹盘是在载物台上设立介电层,对载物台与晶圆间施加电压,经由发生在两者间之库伦力,将晶圆加以吸住之机构。为要保持晶圆及温度控制,可以在载物台或输送系统等。D1024Sender发送机是

9、指将收纳处理前之遮光罩或晶圆等基板之匣盒,加以搭载并将基板输送至处理装置之机构。D1025Soak time热炼时间系指将退火装置或真空蒸镀装置之加热对象物,以不致蒸发之温度加以维持之时间。就退火而言,指维持所希望时间,就真空蒸镀法而言,指预备加热温升排气之时间。D1026Soft landing软性着陆旨在横型之热氧化装置,热扩散装置及热CVD装置,将搭载晶元之晶舟,输入或输出制成反应管之际,不至于接触管内壁,具有可抑制产生微粒功能之搬运装置。D1027Turbo molecular pump涡轮式分子泵指具有汽涡轮机形之叶片,经由高速旋转之转子,将与其叶片表面碰撞气体分子给与运动量,以输送

10、气体之运动量输送式真空泵。可在分子流领域有动作。D1028Dummy wafer仿真晶元,虚设晶圆指当装置在试运转中,分批处理晶圆时为要凑齐片数,或为承载效应等对策所使用,指实际没有形成IC图案的晶圆.D1029Chip ?die芯片/ 小芯片指将用来制作无源(被动)组件、有源(主动)组件,或被制成集成电路为前提之半导体或绝缘物细片。有时亦可称为(片状器件)。请参阅cf. JIS.请参阅图E-1002.D1030Turn-around-time一贯制程所需时间指将工件之完成产品所需要之时间。如何将产品提早完成,Q-TAT(QUICK TAT)D1031Dry vacuum pump干式真空泵指

11、作为涡轮式分子泵或低温泵(cryopump)(oil free)指出加工,系瓦斯通路不会混入油分之不沾油泵。通常可从大气压减压至10-3PaD1032Batch processing分批处理系指每次可见多数片晶圆加以处理之方式。D1033Buffer缓衡容器系指可在装置内暂时收纳遮光罩或晶圆等基板之单元。通常可分为使用载运闸盒,或使用专用治具者。基板之进出有先进先出(FIFO),有后进先出(LIFO)之2种方式。D1034Foot print脚印系指将装置设置在平面时,从正上方加以投影之总设置面积。编号用语(英文/中文)用语说明D1035Process induced particle cou

12、nter制程感应粒子计数器系指具有严格之试料气体防漏机构,将试料流通路内鼻子残留杂质彻底加以除去之光散射式粒子计数器。系用来监视半导体组件制造原料瓦斯,CVD或注入粒子装置等减压槽中之浮游粒子数。D1036Beltless transfer system无带式输送系统是指将遮光罩或晶圆等基板背面,以诸如真空机械人或磁浮等非用直接输送带之基板输送机构之总称。以采用橡皮输送带或金属性弹性带之输送,无法防止来自输送带材质之污染,因此今后均以无带式输送主流。D1037Single wafer processing单晶圆处理方式是指将晶圆一片一片加以处理之方式。D1038Multi-chamber va

13、cuum system多式真空系统是指关于布线工程、薄膜形成工程等,经由将各个不同制程适当加以搭配在一起,且在一贯之气氛下加以处理,为提升制程之总功能为目的,所构成之多室真空装置。此一真空装置有以输送室为中心,在其周围将制程室配制成放射状型。以及以输送室为中央,而将制程室配置在两侧之线形型等两种。D1039Mechanical chuck机械式夹头是指利用机械爪具或环形吸盘等,将晶圆外周部加以机械式保持、安装之机构。D1040Receiver接受匣盒是指搭载处理后之遮光罩,晶圆等基板之收纳匣盒,及将基板由处理装置取出之机构。D1041Recipe处理程序是指为要进行晶圆制程之处理控制,对制程装

14、置之制程次序,及控制参数(温度,压力,瓦斯之种类及流量,时间等控制目标值)等相关装置个别之处理程序。D1042Loader装载机、装料机指将加工对象(work)放置与所定位置或安装之机构。D1043load-lock chamber加载互琐真空室是不得将处理室暴露于大气中,可进行晶圆之装入与取出为目的之真空室。在处理室之前后或任一方配置一个阀,经由阀与真空排气系统动作之搭配,可以经常保持处理室在真空状态。D1044rapid thermal process快速热处理是有关热处理,为提升产量(throughput)等目的,将温度作快速上升或下降等操作或制程。D1045in-situ就地,在现场,

15、自然(环境)以往都将起当作另外制程进行之处理,却将其编入其它制程内,诸如:in-situ cleaning,in-situ doping,及in-situ monitoring 可分别当作就地清洁,自然(环境)掺杂,及现场监视等使用。D1046Open cassette开放式晶圆匣是属于可收纳晶圆而在装置间搬运之容器,由可支持晶圆之部位,与搬运时将容器本体加以把持之部位,以及由此等支持体所组成,其晶圆收纳部成为开放状态之晶圆搬运容器。编号用语(英文/中文)用语说明D1047Kinematic coupling运动举上之耦合在载物台上配置有位于三角形顶点之三个凸状,且具有3次元曲面之突出头,在各

16、个突出头套上设在被载物体之3个颠倒V字形之嵌合罩,是用来进行位置决定之机构。被使用作300mm之晶圆搬运机(wafer carrier)。具有较大之调准X围与经由自动求心之较高位置决定精确度为其特点。D1048Swift start up system快速启动系统关于半导体等生产启动,从初期阶段起同时将各装置加以设置,边决定制程条件,边快速提高到全能生产时能力之快速生产启动方法。D1049Thermal budget热预算系指在制程中,晶圆所受到的总热量。是温度及暴露于该温度全时间的函数。D1050PID temperature controlPID温度控制是典型制程温度控制方式之一种。使用P

17、为比例,1为积分,D为微分等3种基本演算,将目标值与现有值间的差值变换成控制量者。针对PID各参数变更,可较为容易地预测其控制特性的变化。D1051Pod密闭夹式容器为达成高度局部洁净化需要,且降低洁净室的 营运成本为目的,是用作保存及输送如晶圆等被处理体密闭容器的总称。经由以下主要构成要素的选择,可以考量有如下四种组合,容器内部可保持晶圆的匣盒部分,与容器为一体构造,(即匣盒部分为可拆开式或无法拆开式)。其开口部位在前面或底面者。D1052Process integration加工整合,整合处理 当靠量抑制加工研发的投资结果,在半导体制造装置内,以一定的条件或环境下,将多项加工连续反复加以处

18、理,在同一晶圆上重复加工,可以提升合格率或生产效率的技术。列如可以列举以in-situ将蚀刻或溅射等,施加一连串的处理,且加以回收之多室装置等。D2薄膜形成用语编号用语(英文/中文)用语说明D2001Thin film deposition system薄膜沉积系统是指有关半导体制造工程,可形成绝缘膜,电极布线膜,及半导体膜之装置总称。有关薄膜之形成原理可大略分成真空蒸镀装置,溅镀(sputtering)系统等PVD(physical VaporDeposition)系统,CVD(Chemical Vapor Deposition)系统,及磊晶(epitaxial)生长系统。编号用语(英文/中

19、文)用语说明D2002Injector注入器nozzle喷嘴系指诸如CVD系统,磊晶生长系统等反映室内,注入瓦斯之喷出部。也可称为喷嘴。D2003Wafer heating mechanism晶圆加热机构系指为加热晶圆所护套加热器,红外线灯加热器等的总称。随着晶圆之大口径化,需要面内有均匀加热性能,进年来在发热体与晶圆背面间,使用He或Ar等瓦斯作为热媒体,此一媒体加热已成为重要技术。D2004Spin on glass coatingSOG涂敷SOG涂布是指为要层间绝缘膜或平坦化,所使用涂敷有SOG(spinon-glass)膜之敷层。此一敷层使用将SiOx溶解于酒精俗称调味料之药液。敷层后

20、进行硬化烘焙(400-700)使溶剂挥发。因药液之粘度很高,有使用喷嘴洗涤及帽套洗涤机构之必要。D2005Overhang突出部分,悬垂物是指有关组件之穿孔(through hole)等,其段差部之纵横比(aspect ratio)较大时,上部段差部角较下部底面之膜厚为大,形成如同雨檐突出部分之膜。D2006Shutter快门光匣是指位在薄膜形成装置之蒸发源与晶圆间,所设置之遮断板。薄膜形成中为打开。D2007Step coverage阶跃式覆盖率是指位在LSI等半导体组件薄膜表面上,有微细段差部之膜覆盖状态。因有段差部之覆盖状态,直接影响到布线之不正常断线,成为产品合格率,品质下降之原因。D

21、2008Planarization平坦化是指随着布线之多层化,可以将纵向构造之段差凹凸情况,加以缓和之技术。就绝缘膜之平坦化法而言,有镀膜(coating)法,偏溅射(biassputtering)法,平坦化热处理(reflow)法、背面蚀刻(etch back)法,及剥离(lift off)法。就金属膜之平坦化法而言,有偏溅射法、CVD选择生长法等。对于CMP法所能获得跨于晶圆全面之平坦化,特别称为全面平坦化。D2009Void空隙,空洞当将晶圆加热时铝等金属膜构造将产生变化。此时,将有压缩应力作用于布线材料膜,为缓和此一应力过程,将在表面上产生突起之结晶粒,在温度下降之过程为要缓和X力,而

22、在结晶粒界所产生之空隙。D2010Polyimide coating聚酸亚胺涂敷是指为要形成保护膜、层间绝缘膜,所加以之涂敷聚酸亚胺膜。因聚酸亚胺粘性很高(1000-2000cp)使用挥发性较低溶剂NMP(N-methy1 pydolidon)。涂敷后,为溶剂之挥发而在400中进行硬化烘焙。编号用语(英文/中文)用语说明D2011Mouse hole老鼠洞是指在组件图案之段差部形成薄膜之际,由于侧壁与平面间之膜质之不同,往后在蚀刻制程中由部分系在高速蚀刻下进行,而产生蛀虫状之洞穴。D2012Reflow圆滑热处理,平坦化热处理技术是指随着LSI组件之积体化,为缓和较复杂之段差纵向构造,经由高温

23、热处理谋求平坦化为目的,所使用之技术。将含有磷8-12%(重量百分比)之PSG膜,以CVD法加以沉积,经由大约1000之高温热处理,利用PSG之流动性将晶圆表面加以平坦化。为降低玻璃之软化点,亦利用对PSG膜掺入硼杂质之BPSG膜。注:PSG(phrase structure grammer)文句构造文法。D2013Wafer cooling stage晶圆冷却夹片台是指将编排在半导体制造装置内之高温晶圆,加以冷却之夹片台。亦称为冷却站(cooling station),氧化、退火装置或抗蚀刻处理装置,亦有此一设备。D2014Ferroelectric thin film铁电薄膜强诱电体薄膜是

24、指使用与电容器的PAT*1、BST*2、SBT*3等强电介体薄膜。是由MOCVD法,溶液汽化CVD法,溅射法,solgel法及涂敷法等所形成。注:*1:pbxZr1 xTiO3; *2 : BaxSr1 xTiO3; *3 : SrBi2Ta2O9 .D2015Plasma trap离子喷镀系统是指在CVD装置,为要保护真空泵等,利用等离子体去除所反应副生物的装置。21真空蒸镀装置用语编号用语(英文/中文)用语说明D2101Vacuum evaporation system真空蒸镀系统真空蒸着装置是指在低于10-2 Pa压力的真空装置内放置蒸发源,并在其周围放置晶圆,经由加热蒸发源使材料(金属

25、或某种化合物),在真空中将晶圆加以蒸镀的装置,蒸发源原子(或分子)由蒸发源汽化直接在晶圆基板上沉积凝积。D2102Resistance heating evaporation电阻加热真空蒸镀系统抵抗加热真空蒸着装置此一装置可以分类成以W,Ta,Mo等高熔点金属,所制成加热器或BN等复合材料加以通电,使蒸发材料直接加热蒸发的直接加热式装置,以及由坩埚与发热体所构成的间接加热式装置等2种。此一系统的真空蒸镀装置中,构造最简单具备有能量上最稳定状态的特点。D2103Electron beam evaporation system电子束蒸镀系统系指将电子束照射于蒸发材料使其加热,有利用其蒸发击力来蒸镀

26、之装置。就此一方法而言,坩埚因置于水中冷却,坩埚材料中之杂质,混入蒸镀膜之可能性较小,此法亦使用于高融点物质,半导体或氧化物之蒸镀。编号用语(英文/中文)用语说明D2104Induction heating evaporation system感应加热蒸镀系统高周波诱导加热真空蒸着装置系指利用蒸发材料本身所感应之高频电流,作为加热源之真空蒸镀装置。此一方法仅对电感应材料有效,而不能适用于绝缘体。此法可利用于不受荷电粒子损伤之蒸镀装置。D2105Ion plating system离子喷镀系统系指将晶圆置于阴极侧,产生辉光放电,从蒸发源将蒸发原子加以电离化或激励,加速后撞击在基板上,且加以堆积之

27、真空蒸镀装置。经由此法可以获得密接性很强之被膜。具有可得膜质很优良之化合物被膜等特点。D2106Ionized cluster beam evaporationSystem成团离子线束蒸镀系统系指在高真空中,将102 103个原子聚集成团加以电离化,且加速撞击堆积在晶圆上之装置。以成团蒸发源作为蒸发源,且将蒸汽容器之蒸汽出口做成小喷嘴,促使容器内外压力差很大以便喷出。此时,蒸汽在断热膨胀之过程下形成一团一团。成团之电离化,系利用热电子放射灯丝与阳极,经由电子撞击来进行。D2107Deposition rate蒸镀速率系指每单位时间内,在晶圆上生成之膜厚。就真空蒸镀法而言,称为蒸镀速率,就溅射法

28、而言,称为溅束镀速率,就CVD法而言,可称为沉积速率。D2108Evaporation source蒸发源系指有关真空蒸镀法中,将作为膜材料之蒸发材料,加以蒸发之加热源。此一蒸发源以电阻加热蒸发源,电子束蒸发源,感应加热蒸发源为代表。D2109Evaporation material蒸发材料系指经由蒸发源加热使之蒸发,所称为膜之物质。D2110Rotary and revolutionary jig自转公转夹具系指有关蒸镀装置, 所使用晶圆固定夹具之一种.针对蒸发源配置多数个(通常为3个) 圆顶状晶圆固定夹具, 在蒸镀中, 因可进行各圆顶夹具之自转与公转, 可得跨于广大面积厚度均一之膜, 同时

29、阶跃式覆盖X围具佳.。D2111Dome jig圆顶夹具系指内侧能保持多数晶圆之圆顶状晶圆固定器。在其中心轴设置蒸发源,且经由将圆顶加以公转,可同时对多数之晶圆附着钧一之膜。D2112Thickness control膜厚控制系在成膜制程中,经由厚膜计(水晶振荡式,原子吸光式及光学式等)来监控膜及蒸镀速度,使能成为所定膜厚,或保持一定之蒸镀速度等控制。D2113Laser ablation镭射烧蚀系利用高密度之光子照射,切断蒸发材料表面之化学结合,使其蒸发而形成薄膜者。22溅镀装置用语编号用语(英文/中文)用语说明D2201Sputtering system溅镀系统在真空中引进放电用瓦斯,若对

30、电极间施加电压,将产生辉光放电。此时等离子体(plasma)中之正离子撞袭到阴极之靶表面,而将靶原子掏出来。系指利用此一溅射现象,在晶圆上形成薄膜之成膜装置。放电瓦斯使用氩气(Ar)。D2202Diode sputtering system二极管溅镀系统系指具有由一对阴极与阳极所成之2极冷阴极辉光放电管构造之溅镀装置。阴极相当于靶子,而阳极兼作基板固定器之功能。产生辉光放电后,等离子体中之氩正离子撞击到靶子表面,将靶原子掏出来,而将设置在阳极之晶圆上,形成薄膜。D2203DC diode sputtering system直流二极管溅镀系统系指具有由一对阴极与阳极所成之2极冷阴极辉光放电管构造

31、,在电极间施加直流电压,使产生辉光放电,在此系指利用位在阴极上靶子之溅射现象,来进行形成薄膜之溅镀装置。靶子材料只限于导电体。D2204RF diode sptuttering system高频二极管溅镀系统指具有一对阴极与阳极所成之2极冷阴极辉光放电管构造,电极间主要施加13.56MHz之高频电压,使之产生辉光放电,而利用位在阴极靶子表面溅射现象,来进行形成薄膜之溅镀装置。离子产生效率交直流2极方式为优。除了金属、半导体外,当然亦可使用于绝缘体,因而被广泛使用。D2205Magnetron sputtering system直接电磁场型溅镀系统在溅射法中,是指施加于阴极之电场与成直交之磁场搭

32、配所构成装置之总称。电子受磁场之作用开始作摆线运动(trochoidal motion),因要推进很长之距离,而与瓦斯分子间之碰撞频度大增,克维持高离子电流密度放电,因此可作到高速溅镀。D2206Magnetron sputtering system磁控管溅镀系统是指经由磁控管原理施加交叉之点磁场,使从阴极产生之电子进行摆线运动,在靶子表面形成高密度等离子体,可以较低电压来提高溅镀速度之高功率效率溅镀装置。从与潘宁(Penning)冷阴极放电同一原理,来进行产生离子潘宁磁控应用,而称为磁控管溅射法。D2207Planar magnetron sputtering system平面磁控管溅镀系统

33、是指磁力线由平板状靶子之背面出来,再回到靶子侧之构造,具有此一阴极构造之磁控管型溅镀装置。磁场在靶上成为环形(race track)状构造,电子一旦进入该空间就被封闭在内无法跑出来。D2208Coaxial magnetron sputtering system同轴磁控管溅镀系统是指阴极与阳极具有同轴圆筒状构造之磁控管型溅镀装置。在中心圆筒状之靶子内部,收纳有多数个圆筒状磁铁,经由在靶子表面产生平行之磁场,可维持磁控管放电。亦有将阴极与阳极相反配置之反向同轴磁控管溅射方式。编号用语(英文/中文)用语说明D2209Sputter-gun sputtering system溅射枪溅镀系统是针对具有

34、圆锥台状之靶子,在其背后配置磁通路,而可在靶表面产生平行磁场之构造,是指具有此一阴极构造之磁控管型溅镀装置。靶子形状具有特征,是属于使用效率很优异之靶子。D2210Facing target sputtering (FTS) system相面对靶子溅镀系统是指有2个互相面对之平板阴极,与沿着其中心轴之磁场,搭配而成磁控管型之溅镀装置。电子被封闭在相面对之阴极间,产生高密度之等离子体。对磁性体之高速溅镀很有效。D2211Thermoionic assisted triode sputtering system三极管热离子辅助溅镀系统是指利用热阴极放电之溅镀装置。因具有电子供应灯丝,相面对阳极及阴

35、极等3极,而称为热离子辅助三极管溅射方式。因热离子产生机构独立,具有将靶电压在广泛围内,单独加以控制之特点。D2212Thermoinic assisted tetrode sputtering system四极管热离子辅助溅镀系统是在三极管热离子辅助溅镀装置中,在灯丝前侧附加热电子控制用栅极,而成为四极管热离子辅助溅镀装置。是为提升热离子之控制性,由三极管热离子辅助溅镀装置,进一步加以发展出来者。D2213Ion beam sputtering system离子束溅镀系统是指针对置于高真空气氛中之靶子,将从独立离子源以高能加速引出来之离子加以撞击,可在10-2Pa以下低瓦丝压力下,进行成膜之

36、溅镀装置。D2214Bias sputtering system偏压溅镀系统是指以负偏压施加于晶圆为特点之溅镀装置。离子之一部将流入基板,虽然在成膜过程中晶圆面,因受到离子之冲击将吸附在膜面之杂志瓦斯驱离出来,除能进行纯水作用外,其它可当作对阶跃式覆盖X围(step coverage)之改善,以及膜面平坦化效应之改善等为目的,加以利用。D2215Reactive sputtering system反应性溅镀系统是指随着一种化学反应而产生之化合物薄膜(氧化膜或氮化膜等),可附加在素材之溅镀装置。除了氩气外,将活性瓦斯引入溅镀室,列如,对素材如加以溅射金属,可形成金属化合物之薄膜。D2216Co-

37、sputtering system共同溅镀系统是指将2种以上具有不同成分元素指靶,同时加以溅镀,可独立将个别功率加以控制,能制作经由此一组成所能控制之膜溅镀装置。可利用于凝形成由2 种以上之元素合金或化合物薄膜。D2217Electron Coupling Resonance (ECR) sputtering system电子耦合谐振溅镀系统是指施加微波与磁场,使之产生电子回旋加速器共振放电,籍以进行将等离子体(plasma)与靶电位,独立加以控制之溅镀装置。D2218Cathode/target electrode阴极/靶电极在溅镀装置中,是将靶子设置在阴极表面被加以溅镀。此一型装置之阴极有

38、时亦可称为靶电极(target electrode)。D2219Collimate sputtering准直溅镀是指对从横尺寸比(aspect ratio)较大之接触孔(contact hole)加以镀膜之际。为使至底部亦能或充分之膜厚,在靶子与晶圆间插入格子状板,具有强制地提高垂直成分机构之建设。编号用语(英文/中文)用语说明D2220Sputtering rate溅镀速率系指有关溅镀装置,每单位时间之成膜厚度。D2221Sputtering yield溅射二次放射系数系指针对每一个入射离子或中性粒子,从靶子表面被掏出来之原子或分子数目之统计性比例。溅射二次放射系数,依离子之种类,能量之大小

39、,离子入射角,靶子材质,靶子结晶构造及面方位而变化。D2222Target靶子系指在溅镀装置中,设置于阴极表面,被离子撞击成膜之材料物质。D2223Efficiency of target utilization靶子利用效率系指针对使用前之靶容积,对消耗容积之比例。位在磁控管阴极上靶材料之消耗,系被夹在磁极之特定领域(浸蚀领域)内进行。此一浸蚀领域之消耗深度,可以确定靶子之寿命。D2224Backing plate支撑板系指在溅镀装置中,将靶子固定在阴极时,所用之靶固定板。利用例如磁控管溅镀装置这样大电流密度放电之场合,为防止靶本身之温度上升,将靶子连接固定在支撑板上,藉以充分冷却支撑板本身。

40、D2225Pre_sputtering系指在溅镀装置中,在晶圆成膜之前,靶子表面污染层之去除,或为靶表面之安定为目的,所进行之预先溅镀处理。通常将快门光闸关闭状态下进行溅射。D2226Force fill process系指溅射法等对覆盖之洞穴进行A1布线之际,在加热状态下,将靶与基板间距离离远一点,且可在低压下安定加以放电之溅射法。D2227Long throw sputtering method系属于提升根本覆盖X围之一种方法,为仅将溅射粒子之垂直成分能到达基板,将靶与基板间距离离远一点,且可在低压下安定加以放电之溅射法。23CVD装置用语编号用语(英文/中文)用语说明D2301Chemi

41、cal vapor deposition system化学汽相沉积系统系指将可构成薄膜材料之元素,一种或数种之化合物瓦斯、单体瓦斯供给晶圆,经由汽相或在晶圆表面之化学反应,可形成所希望薄膜之装置。若拟激励瓦斯,通常要使用热能或等离子体放电。最近以光(雷射光或紫外线等)激励之CVD装置亦渐接近实用化。D2302Thermal CVD system热激励CVD系统热CVD装置系指以热能作为激励CVD反应之装置总称。就热之发生源而言,电阻加热方式与红外线灯加热方式。因受反应室内瓦斯压力,又可分成大气压CVD装置,与低压CVD装置。编号用语(英文/中文)用语说明D2303Atmospheric pre

42、ssure CVD system大气压CVD系统常压CVD装置系指反应室内压力,为大气压之CVD装置。其特点为沉积速度快,比较上其阶跃式覆盖X围较佳。D2304Low pressure CVD system低压CVD系统减压CVD装置/低压CVD装置系指将反应室保持在减压(低压)状态之CVD装置。其特点为可进行晶圆表面之均一反应,比较上其阶跃式覆盖X围较佳。为此已下过很多功夫。D2305Vertical low pressure CVD system垂直型低压CVD系统纵型减压CVD装置系指将反应管及加热器,配置成垂直之低压CVD装置。与水平型比较设置面积较小,因负载锁定(load locki

43、ng)化容易,逐渐成为主流。D2306Metal organic CVD system有机金属CVD系统有机金属CVD装置/MOCVD装置系指利用有机金属化合物之热分解反应,来制作化合物半导体膜之CVD装置。与经由汽相磊生成法,所生长化合物半导体单结晶之MOVPE装置,虽有所分但装置构成上有很多类同点。Organo-metallic CVD system有机金属CVD系统OMCVD装置D2307Plasma enhanced CVD system等离子体增强CVD系统系指在低压下,经反应性瓦斯之等离子体放电分解,可形成薄膜之CVD装置之总称。与热激励CVD法不同,具有可在较低温CVD反应之特点

44、。将等离子体之产生能量,若以频率为主加以分频时,有高频等离子体,微波等离子体,ECR等离子体等各种装置。D2308RF plasma enhanced CVD system高频等离子体增强CVD系统系指在低压下,经由反应性瓦斯之高频辉光放电分解,可形成薄膜之CVD装置。经由装置构造,可分频为电容性耦合型及电感性耦合型。D2309Capacitive coupled plasma enhanced CVD system电容性耦等离子体增强CVD系统系指对设置在石英反应管之外侧或内侧相面对电极间,施加电压产生低压反应瓦斯之等离子体,经由等离子体分解可在晶圆上,形成薄膜之 CVD装置。D2310Mu

45、ltiple parallel plate electrode plasma enhanced CVD system多平行电极等离子体增强型CVD系统系指对石英反应管内插入多数平行电极板,在此电极上设置有多数成垂直之晶圆,具有此一构造之电容性耦合型等离子体CVD装置。在相面对平行电极板间,施加高频功率产生低压反应瓦斯之等离子体,而形成薄膜D2311Diode parallel plate enhanced CVD system二极管平行板等离子增强型CVD系统系指反应室内有2片平行电极板相对,在内建有加热器之一侧电极板上设置晶圆,在互相面对之电极间施加高频电压,使之产生低压反应瓦斯之等离子体,

46、使晶圆上形成薄膜之电容性耦合型等离子体CVD装置。编号用语(英文/中文)用语说明D2312Coaxial cylindrical plasma enhanced CVD system同轴圆筒型等离子增强型CVD系统指对圆筒型外部电极内部,配置同轴状多面体之晶圆固定电极,此一电容性耦合等离子体增强型CVD装置。在二电极间施加高频电压,使之产生低压反应瓦斯之等离子体,而在晶圆上形成薄膜。D2313Inductive coupled plasma enhanced CVD system电感性耦等离子体增强CVD系统系指绕在石英反应管外侧之线圈施加高频电压,使之产生低压反应瓦斯之等离子体,使设置在反应

47、管内之晶圆上,形成薄膜之等离子体CVD装置。D2314Microwave plasma enhanced CVD system微波等离子体增强型CVD系统系指具有由微波导波管,与产生等离子体瓦斯导入口,经由微波激励之等离子体放电室,所构成之等离子体CVD装置。在经由微波所产生等离子流之下游,引进反应瓦斯,可在内建有加热器(susceptor)内,于低温形成薄膜。亦有将反应瓦斯直接引进等离子体放电装置之方法。D2315After glow microwave plasma enhanced CVD system隔离型微波等离子体增强型CVD系统系指将经由微波将等离子体产生室与成膜室加以隔离,期间

48、以输送通路加以连接之微波等离子体增强型CVD装置。因晶圆没有暴露在等离子体下,具有不受等离子体影响之低损害成膜之特点。D2316Electron Coupling Resonance (ECR) plasma enhanced CVD systemECR等离子体增强型CVD系统是指由微波导波管连接而在周围设有磁场产生机构之等离子体室,与收纳晶圆之反应室,所构成之CVD装置.经由2.45GHz之微波与875G之磁场,利用离子源来产生高密度之等离子体,将反应性瓦斯加以分解,于低温下在晶圆上形成薄膜.D2317Photo assisted CVD system光辅助型CVD系统光CVD装置是指经由光

49、能将气体分子加以分解,于低温在晶圆片上形成薄膜之CVD装置.因所使用光源,可分类成雷射CVD装置与紫外线灯CVD装置.D2318Laser assisted CVD system雷射辅助型CVD装置是指经由雷射光能将气体分子加以分解,与低温在晶圆片上形成薄膜之CVD装置.因所使用雷射(由电子激励),与红外线雷射(由振动激励)等CVD装置.D2319Ultraviolet lamp heating CVD system紫外线灯加热型CVD系统是指由紫外线灯光装置,与内建有晶圆片加热器之反应室,所构成之CVD装置.紫外线光源要使用可产生200nm-300nm之紫外线,或200nm以下之真空紫外线波

50、长X围之灯泡.总之,反应分子之光分解,是利用瓦斯分子之位能(potential energy)吸收紫外线,将其提高到电子激励状态,然后加以分解之原理.。D2320Liquid source delivery system液体源输送系统系指将Si或金属化合物等液体源,加以汽化并连续输送一定量至反应室之装置。惯用之起泡方法,系将输送量以汽化瓦斯之流量加以控制之方法(直接法)与以液体之流量加以控制,然后加以汽化之方法已被研发,依反应室之压力条件,或液体源之蒸汽压,分开使用。编号用语(英文/中文)用语说明D2321Radio frequency workcoil高频工作线圈系指当将Si或GaAs等之半

51、导体晶圆加以加热之际,为要加热搭载此等被加热体之承受器,在其近旁所配置之感应线圈。对感应线圈施加高频电压,由于被加热体属于导体,因受电磁感应而产生涡电流,而以涡电流所产生之热来加热被加热体。D2322Cold wall冷壁在CVD装置中,系利用内建在反应室中来自加热器,或紫外线灯泡之放射热或高频加热,将晶圆加以加热之方法。因反应室之内壁温度不致成为高温,与热壁相对而称为冷壁。D2323Deposition rate沉积速度系指在晶圆片上每单位时间之生长膜厚。使用于CVD成膜方法之场合较多。D2324Chamber cleaning反应室清除是指将附着在反映室内之反应残渣加以清除之方法。就干蚀刻

52、(dry etching)法而言,有利用瓦斯之化学蚀刻(chemical etching)法,与等离子体清除(plasma cleaning)法。D2325TEOS-O3 atmospheric pressureCVD methodTEOS-O3大气压CVD法 TEOS-O3 CVD法是指以属于液体源之TEOS*作为反应源,以O3作为氧化剂,在大气压下形成SiO2膜之CVD法。具有优越之阶跃式覆盖X围,与高生产量(through-out)为期特点。有时以B、P作为残杂剂(dopant)。* tertraetylorthosilicate : Si(OCH2CH3)4,冠其头一字而称为TEOS。

53、D2326Reaction tube反应管是指在CVD装置或磊晶生长装置等热处理装置中,反应部形状为管状者。是以高纯度石英管被用作反应管材。D2327Reaction chamber/reactor反应室/反应器是指在CVD、磊晶生长装置等所用之成膜室。可以收纳晶圆、晶圆保持架(wafer holder)及承授器(susceptor).特别以高纯度石英制作之管状者,称为反应管。反应室有时亦称为沉积室。Deposition chamber沉积室,蒸镀室D2328Plasma cleaning等离子体清除,电浆清除是指在CVD装置中,经由反应气体离子体之产生,将附着于反应室内之膜,加以清除之方法。

54、D2329Plasma TEOS CVD method等离子体TEOS CVD 法是指对低压CVD之反应室输送TEOS作为反应瓦斯,经由对电极间施加高频电压来产生等离子体,而在晶圆片上形成SiO2膜之方法。有时以B、P作为掺杂剂。D2330Pre-cleaning chamber预先清除室是指在蒸镀或沉积前,将晶圆片表面加以清除之专用处理室。大都使用于多室腔型之装置。D2331Hot wall热壁是指在CVD装置中,利用由反应室之外侧加热器,来进行加热反应器内晶圆之方法。因此反应室内壁温度为高温,而称为热壁。编号用语(英文/中文)用语说明D2332Metal CVD method金属CVD法是

55、指利用金属化合物之热分解,来形成金属膜之CVD法。为对应于细微图案之布线、填坑及平坦化,可选择WCVD、覆盖(blanket)WCVD 已被实用化。其它作为位障(barrier)层之形成或布线材料,已经研发了好多种金属之成膜方法。D2333Excluding backside deposition防止背面沉积系指可防止在晶圆背面生成薄膜之构造及其方法。在金属CVD法中,反应瓦斯会迂回到晶圆背面,进行不完全成膜,此法大都为防止因剥离有时会产生微粒时使用。D2335Diamond CVD金铜钻CVD系以甲烷等碳素化合物为原料,籍以形成金钢钻薄膜之CVD。可以使用等离子体CVD法,热灯丝法,离子束溅

56、射法等,此一金钢钻CVD可期待应用到制作高速、高温动作之半导体组件。D2337Vaporized solution source CVD汽化液体源CVD系经由液体原料加以汽化,使之在晶圆表面形成薄膜之CVD。最近用来形成BST、SBT等强电介体薄膜。D2338High density plasma enhanced CVD高密度等离子体增强型CVD系为提升阶跃覆盖X围,与增大附着速度,将等离子体密度增强之CVD。就等离子体产生源而言,有ECR或螺旋波可被使用。24磊晶生长装置用语编号用语(英文/中文)用语说明D2401Exitaxial growth system磊晶生长系统是指承继晶圆之结晶

57、性,可磊晶生长成单晶层之装置。受生长之气氛,可以大略分成分子束磊晶生长装置,液相磊晶生长装置。从材料面来观察时,可分类成与晶圆生长同一材料者,称为同质磊晶(homoepitaxy),与晶圆生长层不同材料者,称为异质磊晶(heteroepitaxy)。D2402Molecular beam epitaxial growth system分子束磊晶生长系统是指将凝要生长之材料,在10-8-10-9之超高真空中,以分子束状迎面奔向相对之晶圆片上,磊晶生长成单晶层之装置,具有可在低温制作杂质或结晶缺陷少之薄膜等特点。通常在成膜制程现场可附设,可以分析组成成分或观察结晶性之附属功能。编号用语(英文/中文

58、)用语说明D2403Gas source molecular beam epitaxial growth system瓦斯源分子束磊晶生长系统是指可将有机金属CVD装置(MOCVD)与分子束磊晶装置(MBE)两者之优弱点,加以取长补短之装置。因使用瓦斯源作为薄膜生产材料,除了有MBE 之优异结晶控制性,也具备有 MOCVD之可选择生长等特点。此一系统有时可称为有机金属分子束磊晶生长系统,或化学分子束磊晶生长系统。Metal organic molecular beam epitaxial growth system有机金属分子束磊晶生长系统MOMBE 装置Chemical beam epita

59、xial growth system化学分子束磊晶生长系统CBE装置D2404Atomic layer epitaxial growth system原子层磊晶生长系统装置/ALE装置是指使原子层或分子层,一层一层加以控制使其生长之装置,就原子层之控制方法而言,可分类为定时(timing)法与饱和吸附法,将化合物半导体组件制作成极微细构造,用来获得量子效果。D2405Vapor phase epitaxial growth system气象磊晶生长系统是指利用高温汽相中之化学反应,可在晶圆片上生长硅、化合物半导体等单结晶之装置。此一装置可分类为大气压汽相磊晶生长法,有机金属汽相磊晶生长法,及光

60、汽相磊晶生长法等装置。D2406Atmospheric pressure vapor phase epitaxial growth system大气压汽相磊晶生长系统是指汽相磊晶生长可在大气压下进行之装置。此一装置通常使用于硅装置,特别是双载子(bipolar)装置等制程上。D2407Reduced pressure vapor phase epitaxial growth system减压汽相磊晶生长系统是指汽相磊晶生长可在减压状态进行之装置。由来自晶圆之自动掺杂会减低,有可得峻峭之组成分布等等之优点。亦可称为低压汽相磊晶生长系统。low pressure vapor phase epita

61、xial growth system低压汽相磊晶生长系统D2410Liquid phase epitaxial growth system液相磊晶生长系统是指将单结晶之子晶(seed),接触到含有生长材料之过饱和溶液,经由使二者间形成温度坡度,而在晶圆表面生长磊晶层之装置。D2412Deposition chamber沉积室是指在CVD装置,或磊晶生长装置,为形成薄膜之部分装置。此一称沉积室可收纳有晶圆,晶圆固定器,承受器(susceptor)及加热器等。D2413Growth rate生长速率是指每单位时间在晶圆上之生长膜厚度。使用于磊晶生长法之场合较多。D3氧化用语编号用语(英文/中文)用语说明D3001Oxidation system氧化系统是指可在晶圆片上形成氧化膜之装置。依温度,周围空气,压力以及装置之形成,可分成大气压热氧化、高压热氧化及等离子体阳极氧化等装置。为氧化膜厚之调节活为

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!