基于51单片机的pwm直流电机调速装置设计

上传人:无*** 文档编号:86349083 上传时间:2022-05-07 格式:DOC 页数:38 大小:1.16MB
收藏 版权申诉 举报 下载
基于51单片机的pwm直流电机调速装置设计_第1页
第1页 / 共38页
基于51单片机的pwm直流电机调速装置设计_第2页
第2页 / 共38页
基于51单片机的pwm直流电机调速装置设计_第3页
第3页 / 共38页
资源描述:

《基于51单片机的pwm直流电机调速装置设计》由会员分享,可在线阅读,更多相关《基于51单片机的pwm直流电机调速装置设计(38页珍藏版)》请在装配图网上搜索。

1、电气工程学院2014年“萌芽计划”科创训练计划报告 西南交通大学电气工程学院2014年 “萌芽计划”科创训练计划报告 题目: 直流电机调速装置 班级 微电二班 姓名: 学号: 20132124 日期: 2015.04.20 摘要直流电机具有良好的启动性能和调速特性。首先启动转矩大:带电刷的励磁直流电机产生的电流比感应式大,故产生力矩大。调速性能好:在宽广的范围内平滑地调速,过载能力强。与交流相比,虽然结构复杂,生产成本高,维护工作量大,但是直流电机调速在数控机床,纺织机械,电工机械等领域广为应用。我们生活中熟悉的录音机、电唱机、录相机、电子计算机等,也都不能缺少直流电机,可见直流电机的控制是一

2、门很实用的技术。本文的设计是基于L298的直流电机调速控制,运用常见的89C51单片机为控制核心,采用L298为驱动芯片,TFT彩色液晶屏为液晶模块显示,设计出的直流电机调速系统。采用的原理是PWM占空比调速,PWM占空比调速是一种常用的调速方法,它通过改变负载两端的电枢电压,调整高低电平的时间长短来控制占空比从而实现调速。关键字:直流电机;PWM;L298 1 前言11.1开发背景11.2选题的目的和意义12总体方案设计22.1方案比较及论证22.1.1直流电机的调速方法22.1.2 PWM调速方式42.2方案选择52.3总体设计概述62.3.1系统总体设计框图63单元模块设计73.1电源模

3、块设计73.1.1功能介绍73.1.2电路设计73.1.4电路参数的计算及元器件的选择83.2L298N电机驱动模块设计93.2.1功能介绍93.2.2电路设计113.3TFT彩屏显示模块设计123.3.1功能介绍123.3.2电路设计123.3.3电路参数的计算及元器件的选择133.4单片机最小系统模块设计133.4.1功能介绍13 3.4.2电路设计14 3.4.3电路参数的计算及元器件的选择14 3.5 DS18B20温度传感器模块设计15 3.5.1功能介绍15 3.5.2电路设计16 3.6红外收发模块设计16 3.6.1功能介绍16 3.6.2电路设计174. 软件设计17 4.1

4、总体软件框图18 4.2主程序195系统调试与测试19 5.1硬件测试19 5.2软件调试20 5.3软硬件联合调试20 5.4软件仿真206系统功能、指标参数22 6.1系统实现功能22 6.2系统指标参数测试23 6.3系统功能及指标参数分析237设计总结23参考文献25附录127 附录228附录3281 前言1.1开发背景现代工业生产中,电动机是主要的驱动设备,目前在直流电动机拖动系统中已大量采用晶闸管(即可控硅)装置向电动机供电的KZD拖动系统,取代了笨重的发电动一电动机的FD系统,又伴随着电子技术的高度发展,促使直流电机调速逐步从模拟化向数字化转变,特别是单片机技术的应用,使直流电机

5、调速技术又进入到一个新的阶段,智能化、高可靠性已成为它发展的趋势。直流电机调速基本原理是比较简单的(相对于交流电机),只要改变电机的电压就可以改变转速了。改变电压的方法很多,最常见的一种PWM脉宽调制,调节电机的输入占空比就可以控制电机的平均电压,控制转速。PWM控制的基本原理很早就已经提出,但是受电力电子器件发展水平的制约,在上世纪80年代以前一直未能实现。直到进入上世纪80年代,随着全控型电力电子器件的出现和迅速发展,PWM控制技术才真正得到应用。随着电力电子技术、微电子技术和自动控制技术的发展以及各种新的理论方法,如现代控制理论、非线性系统控制思想的应用,PWM控制技术获得了空前的发展,

6、到目前为止,已经出现了多种PWM控制技术。1.2选题的目的和意义直流电动机具有良好的起动、制动性能,宜于在大范围内平滑调速,在许多需要调速或快速正反向的电力拖动领域中得到了广泛的应用。从控制的角度来看,直流调速还是交流拖动系统的基础。早期直流电动机的控制均以模拟电路为基础,采用运算放大器、非线性集成电路以及少量的数字电路组成,控制系统的硬件部分非常复杂,功能单一,而且系统非常不灵活、调试困难,阻碍了直流电动机控制技术的发展和应用范围的推广。随着单片机技术的日新月异,使得许多控制功能及算法可以采用软件技术来完成,为直流电动机的控制提供了更大的灵活性,并使系统能达到更高的性能2。采用单片机构成控制

7、系统,可以节约人力资源和降低系统成本,从而有效的提高工作效率。传统的控制系统采用模拟元件,虽在一定程度上满足了生产要求,但是因为元件容易老化和在使用中易受外界干扰影响,并且线路复杂、通用性差,控制效果受到器件性能、温度等因素的影响,故系统的运行可靠性及准确性得不到保证,甚至出现事故。目前,直流电动机调速系统数字化已经走向实用化,伴随着电子技术的高度发展,促使直流电机调速逐步从模拟化向数字化转变,特别是单片机技术的应用,使直流电机调速技术又进入到一个新的阶段,智能化、高可靠性已成为它发展的趋势。2总体方案设计2.1方案比较及论证2.1.1直流电机的调速方法 直流电机是人类最早发明和应用的一种电机

8、,与交流电机相比,直流电机因结构复杂、维护困难、价格较贵等因素制约了他的发展,应用不如交流电机广泛,但是,因为直流电机具有优良的起动、调速和制动性能,因此在工业领域中占有一席之地。直流电机的结构原理图如图1-1所示:图2-1-1直流电机结构原理图 虽然不同励磁方式的电机机械特性不同,但他们的转速都是由公式 (2-1)计算而得,式中 电枢供电电压(V); 电枢电流(A); 励磁磁通(Wb); 电枢回路总电阻();电势系数。 (2-2)其中p为电磁对数,为电枢并联支路数,N为导体数。由式可见,直流电动机的调速方法可以分为1.电枢回路串电阻的调速方法,2.调节励磁磁通的励磁控制方法,3.调节电枢电压

9、的电枢控制方法。在上述三种方法中,电枢回路串电阻后机械特性变软,系统转速受负载波动的影响较大,空载和轻载时能够调速的范围非常有限。另一方面,因调速电阻容量较大,一般多采用电器开关分级控制,不能连续调节,只能有级调速。同时所串的调速电阻上通过很大的电枢电流,会产生很大的功率损耗,转速越低。需串入的电阻值越大,损耗越大,这样使电动机的效率大为降低。该方法多用于对调速性能要求不高,而且不经常调速的设备上;励磁控制方法在低速时受磁极饱和的限制,在高速时,转速越高,换向越困难。电枢反应和换向元件中电流的去磁效应对于转速稳定性的影响较大,并且励磁线圈的电感较大,系统的动态响应较差。调节电枢电压的电枢控制方

10、法一般不超过额定电压。所以只能在低于额定转速的范围进行调节。在降低电枢端电压时,电动机的机械特性硬度不变,转速受负载波动的影响较小,速度的稳定性好,而且不管拖动哪一类负载,只要电压可以连续调节,系统的转速就可以连续变化,该方法可以实现无级调速,多用于对调速性能要求较高的设备上。在对直流电动机电枢电压的控制和驱动中目前广泛应用的是通过改变电机电枢电压接通时间与通电周期的比值(占空比)来控制电机的转速。这种方法称为脉冲宽度调制(Pulse Width Modulation)即PWM控制.这里我采用了第一种改变电机两端电压的方法,采用脉冲控制PWM,改变占空比,从而改变电机两端电压,调节转速。2.1

11、.2 PWM调速方式PWM(脉冲宽度调制)是通过控制固定电压的直流电源开关频率,改变负载两端的电压,从而达到直流电机调速的一种方法。PWM调速可以应用在许多方面,比如:电机调速、温度控制、压力控制等等。占空比就是输出的PWM中,高电平保持的时间与该PWM的时钟周期的时间之比。例如,一个PWM的频率是1000Hz,那么它的时钟周期就是1ms,就是1000us,如果高电平出现的时间是200us,那么低电平的时间肯定是800us,那么占空比就是200:1000,也就是说PWM的占空比就是1:5。通过改变直流电机电枢上电压的“占空比”来达到改变平均电压大小的目的,来控制电动机的转速。也正因为如此,PW

12、M又被称为“开关驱动装置”。如图1-2所示:图2-1-2 PWM方波 占空比的公式为,其中为一个周期内开关导通(即高电平)的时间,T为一个周期。占空比D表示了在一个周期里,开关管导通的时间与周期的比值,变化范围为0D1。当电源电压不变的情况下,电枢的端电压的平均值为,因此改变占空比D就可以改变端电压的平均值,从而达到调速的目的,这就是PWM调速原理。而改变占空比D的值有三种方法:调宽调频法:保持不变,只改变,这样使周期(或频率)也随之改变。定宽调频法:保持不变,只改变,这样使周期(或频率)也随之改变。定频调宽法:保持周期T(或频率)不变,同时改变和。调宽调频法和定宽调频法在调速时改变了控制脉冲

13、的周期(或频率),当控制脉冲的频率与系统的固有频率接近时,将会引起振荡,使电路不稳定。因此常采用定频调宽法来改变占空比从而改变直流电动机电枢两端电压。2.2方案选择由上所述,我选择的基于L298的直流电机调速控制方案为:利用STC89C51单片机进行核心控制,因为它的控制功能强、可靠性高、易扩展、市场上较为普遍。由L298芯片组成的驱动模块,因为L298N电机驱动芯片是一种高电压、大电流电机驱动芯片,可以直接通过电源来调节输出电压;并可直接用单片机的I/O口提供信号;并且驱动电路简单,input1-input4 输入控制电位来控制电机的正反转;Enable 控制电机停转,OUT1、OUT2之间

14、分别接1个电机;对于本设计直流电动机的驱动,完全满足于需要。TFT作为显示模块,它可以显示英文字母、符号、汉字。由C语言程序驱动单片机运行,分别在按下加速、减速、停止、反转键来实现电机的调速。其结构模块如下图所示: 图2-2 结构模块图2.3总体设计概述单片机直流电机调速简介:单片机直流调速系统可实现对直流电动机的平滑调速。PWM是通过控制固定电压的直流电源开关频率,从而改变负载两端的电压,进而达到控制要求的一种电压调整方法。在PWM驱动控制的调整系统中,按一个固定的频率来接通和断开电源,并根据需要改变一个周期内“接通”和“断开”时间的长短。通过改变直流电机电枢上电压的“占空比”来改变平均电压

15、的大小,从而控制电动机的转速。因此,PWM又被称为“开关驱动装置”。本系统以89C51单片机为核心,通过单片机控制,C语言编程实现对直流电机的平滑调速。系统控制方案的分析:本直流电机调速系统以单片机系统为依托,根据PWM调速的基本原理,以直流电机电枢上电压的占空比来改变平均电压的大小,从而控制电动机的转速为依据,实现对直流电动机的平滑调速,并通过单片机控制速度的变化。本文所研究的直流电机调速系统主要是由硬件和软件两大部分组成。硬件部分是前提,是整个系统执行的基础,它主要为软件提供程序运行的平台。而软件部分,是对硬件端口所体现的信号,加以采集、分析、处理,最终实现控制器所要实现的各项功能,达到控

16、制器自动对电机速度的有效控制。2.3.1系统总体设计框图本系统采用89C51控制输出数据,由PWM信号发生电路产生PWM信号,送到直流电机,从而实现对电机速度和转向的控制,达到直流电机调速的目的。 图2-3-1 系统总体设计框图3单元模块设计3.1电源模块设计3.1.1功能介绍图3-1-1 直流稳压电源的原理框图和波形变换由于电路中需要两个电压:12V和5V,电源中用了7812和7805两个三端集成稳压块。如图4.1首先通过变压器把生活用电交流220V降为交流18V,其次经由四个IN4007构成的桥式整流电路变为幅值变化直流电,再经电容滤波变为幅值变化较小的直流电,利用直流稳压器7812使输出

17、为稳定的+12V,最后经电容滤波,又利用直流稳压器7805使输出为稳定的+5V,最后经电容滤波,输出纹波较小的+5V直流电压源。图中D1、D2在电路中气保护作用,为了电路的稳定工作,在一般情况下,还需要接二极管作为保护电路,防止电路中的电容放电时的高压把集成稳压器烧坏。3.1.2电路设计电源模块电路设计如图: 图3-1-2 电源模块原理图3.1.4电路参数的计算及元器件的选择 对整流电路 输出电压平均值:输出电流平均值:对二极管 考虑到电网电压的波动范围为10%,实际选用二极管时,应至少有10%的量。 最大整流电流: 最高反向工作电压: 对滤波电路电容滤波电路是利用电容的充放电作用,使输出电压

18、趋于平滑。当副边电压u2处于正半周期并且数值大于电容两端电压uc 时,对电容C 进行充电;当u2 达到峰值后开始下降是,电容C 通过负载RL 放电;当u2 的负半周幅值变化到恰好大于uc 时,再次对C 进行充电。(电流方向如图7 所示) 滤波电路的输出电压曲线如图8 所示, 滤波电解电容C的选择原则是:取其放电时间常数RLC大于充电周期的35 倍,其耐压值必须大于脉动电压峰值。对于桥式整流电路来说,脉动电压峰值为2U2,C的充电周期等于交流电源周期T的一半,即C(35) T2RL,式中RL为整流后的等效负载电阻。通过可以看出,经滤波后的输出电压不仅变得平滑,而且平均值也得到提高。3.2L298

19、N电机驱动模块设计3.2.1功能介绍L298N是SGS-THOMSON Microelectronics所出产的全桥步进电机专用驱动芯片,内部包含四信道逻辑驱动电路,是一种二相和四相步进电机的专用驱动器,接受标准的TTL逻辑准位信号,可驱动46V、2A以下的步进电机。该芯片具有两个使能控制端,在不受输入信号影响的情况下允许或禁止器件工作有一个逻辑电源输入端,使内部逻辑电路部分在低电压下工作;可以外接检测电阻,将变化量反馈给控制电路。可以直接通过电源来调节输出电压;此芯片可以直接用单片机的I/O口提供模拟时序信号,电路简单,使用方便。L298N的引脚如图3-1所示,外形图如3-2所示,输入输出端

20、如图3-3所示。Pin1和Pin15可与电流侦测电阻连接来控制负载的电路;OUT1、OUT2、和OUT3、OUT4之间分别接两个电机;input1-input4输入控制电位来控制电机正反转;Enable则控制电机停转。图3-2-1 L298N引脚图图3-2-2 外形图L298的输入输出关系如下表所示:表3-1 L298N输入输出关系ENAIN1IN2电动机运行状态HHL正转HLH反转HHH急停LXX停止 数据来源:2009年潍坊学院学报 J. L298N 在直流电机PWM 调速系统中的应用根据L298N的输入输出关系,使能控制端ENA接AT89C51的P3.0口,并连接示波器显示占空比,单片机

21、IO口P3.1和P3.2分别接入L298N输入端IN1和IN2,可以控制电动机的正反转(输入端IN1为PWM信号,输入端IN2为低电平,电动机正转;输入端IN2为PWM信号,输入端IN1为低电平,电动机反转);电动机的转速由单片机调节PWM 信号的占空比来实现。3.2.2电路设计L298N电机驱动模块电路设计如下图: 图3-2-3 L298N电机驱动模块原理图3.3TFT彩屏显示模块设计3.3.1功能介绍TFT(Thin Film Transistor)即薄膜场效应晶体管,属于液晶显示器中的一种,也就是“真彩”(TFT)。能显示复杂的图象,画面的层次也更加丰富。TFT的特点是亮度好、对比度高、

22、层次感强、颜色鲜艳。TFT液晶技术加快手机彩屏的发展。彩屏手机中基本上26万到130万显示,有的甚至支持1600万色,这时TFT的高对比度,色彩丰富的优势就非常重要了。实物图如下图所示: 图3-3-1 TFT正面 图3-3-2 TFT反面3.3.2电路设计图3-3-3 TFT彩屏显示电路3.3.3电路参数的计算及元器件的选择 上拉电阻51单片机的P0口驱动显示模块上拉电阻通常用10k,电阻太小将使系统功耗增加,电阻太大将使系统的抗干扰能力减弱。1k的上拉电阻,输出电平0时需要灌入约5mA的电流(电源5V的情况下)。当系统的上拉电路用的比较多时,这是一个不可不重视的问题。 电位器 上图R9为TF

23、T彩屏对比度调节电位器,通常采用5K10K即可。3.4单片机最小系统模块设计3.4.1功能介绍单片机的最小系统是由组成单片机系统必需的一些元件构成的,除了单片机之外,还需要包括电源供电电路、时钟电路、复位电路。单片机最小系统电路如图下图所示。3.4.2电路设计 图3-4-1 单片机最小系统原理图3.4.3电路参数的计算及元器件的选择 上电复位:STC89系列单片及为高电平复位,通常在复位引脚RST上连接一个电容到VCC,再连接一个电阻到GND,由此形成一个RC充放电回路保证单片机在上电时RST脚上有足够时间的高电平进行复位,随后回归到低电平进入正常工作状态,这个电阻和电容的典型值为10K和10

24、uF。 振荡电路:STC89C51使用11.0592MHz的晶体振荡器作为振荡源,由于单片机内部带有振荡电路,所以外部只要连接一个晶振和两个电容即可,电容容量一般在15pF至50pF之间。3.5 DS18B20温度传感器模块设计3.5.1功能介绍DS18B20是常用的温度传感器,具有体积小,硬件开销低,抗干扰能力强,精度高的特点。测量温度范围为 -55C+125C,在-10+85C范围内,精度为0.5C。DS1822的精度较差为 2C 。DS18B20引脚定义:(1)DQ为数字信号输入/输出端;(2)GND为电源地;(3)VDD为外接供电电源输入端(在寄生电源接线方式时接地)。 图3-5-1

25、引脚图 图3-5-2 DS18B20实物图3.5.2电路设计 DS18B20的DQ引脚连接到刀片机的I/O端口。3.6红外收发模块设计3.6.1功能介绍红外接收是指使用红外接收头接收红外线发射管所发出的红外线,波长在750-1150NM。红外接收头结构:红外接收头内部有两个重要元件,分别是IC和PD。IC是接收头的处理元件,主要由硅晶和电路组成,是一个高度集成的器件、主要功能有滤波、整形、解码、放大等功能。PD是光敏二极管,主要功能是接收光信号。结构如下图:图3-6-1 红外接收头内部结构接收头工作原理:标准的接收头应用电路,100欧的电阻是限流电阻,10以上的上拉电阻,电容的作用是滤波。载波

26、频率37.9KHZ,脉冲宽度600us左右,根据芯片而定,一般400-600-800.接收波长:接收头接收红外光曲线,接收的范围是750-1150,在940NM的左右的波长段是接收效果最好的,因此遥控器的发射管波长是940nm。3.6.2电路设计 图3-6-2 红外接收头的OUT引脚连接到单片机的I/O口。4. 软件设计软件设计是把许多事物和问题抽象起来,并且抽象它们不同的层次和角度。建议用数学语言来抽象事务和问题,因为数学是最好的抽象语言,并且它的本质就是抽象。在进行微机控制系统设计时,除了系统硬件设计外,大量的工作就是如何根据每个生产对象的实际需要设计应用程序。因此,软件设计在微机控制系统

27、设计中占重要地位。对于本系统,软件更为重要。在单片机控制系统中,大体上可分为数据处理、过程控制两个基本类型。数据处理包括:数据的采集、数字滤波、标度变换等。过程控制程序主要是使单片机按一定的方法进行计算,然后再输出,以便控制生产。为了完成上述任务,在进行软件设计时,通常把整个过程分成若干个部分,每一部分叫做一个模块。所谓“模块”,实质上就是所完成一定功能,相对独立的程序段,这种程序设计方法叫模块程序设计法。模块程序设计法将复杂的问题分解成可以管理的片断会更容易。将问题或事物分解并模块化这使得解决问题变得容易,分解的越细模块数量也就越多,它的副作用就是使得设计者考虑更多的模块之间耦合度的情况。模

28、块程序设计法的主要优点是: 单个模块比起一个完整的程序易编写及调试; 模块可以共存,一个模块可以被多个任务在不同条件下调用; 模块程序允许设计者分割任务和利用已有程序,为设计者提供方便。4.1总体软件框图图4-1-1主程序流程图4.2主程序用C语言编写程序以达到各项功能,具体程序在附录的程序清单。5系统调试与测试5.1硬件测试测试仪器与方法 测试仪器测试仪器包括数字万用表、直流稳压电源、示波器等。 测试方法数字万用表主要用来测试分立元件的电阻、压降、漏电流、截止/导通状态等参数;测量模块板是否可行。软件KEIL4用于调试软件;直流稳压电源在测试期间为各待测系统供电;示波器用来检测显示单片机发出

29、的PWM波;电机运行调试;掌握电机运行速度与软件设定值的关系,与软件算法协调调试,使静态误差最小。5.2软件调试本程序较大且复杂,因此采用C语言编写,通过keil软件的不断修改,采用自下而上的调试方法,先调试功能电路,再调试整个系统。在调试的过程中与硬件的调试相结合,提高了调试的效率。5.3软硬件联合调试当软件和硬件的基本功能分别调试后,进行软硬件联合调试及优化。5.4软件仿真本次所用仿真软件为题目推荐的Proteus软件,仿真原理图如下图所示: 图5-4-1 仿真原理图本系统采用简单的按键操作,实现电机的加速、减速、制动、反转功能。本系统各按键的功能如下加速键用于电机加速,每按一次电机转速增

30、加转速。减速键用于电机减速,每按一次电机转速减小转速。制动键用于电机制动,停止电机旋转。反转键用于电机反转,每按一次电机反转。让电机启动正转,达到200r/min,所需时间大致在1.3s左右,如图所示。图5-4-2加速正转按一次减速键,电机转速减小10r/min,到达190r/min,如图所示。图5-4-3减速按一次反转按键,电机反转,到达-190r/min,如图所示。图5-4-4反转按一次制动键,电机制动,转速较大时,电机可以快速制动到接近零,之后缓慢减速,如图所示。图5-4-5制动经过仿真调试,发现系统可以实现基本的调速功能,并且可以较快速地启动,系统具有较好的稳定性,误差范围在可允许范围

31、。6系统功能、指标参数6.1系统实现功能 系统具有独立供电系统。 通过键盘设定PWM波的占空比达到电机调速的目的。 系统具有液晶显示功能,可以显示个人信息、温度、电机转速等相关信息,并切字体颜色随温度的变化而变化。 在系统中加入温度传感器,电机可根据外界温度的不同而实现自动调速功能。 在系统中加入红外传感器,电机可通过红外遥控器实现远距离调速功能。 将可调速的电机模拟运用到实际中去,模拟一个日常生活中的可以遥控调速的电风扇。6.2系统指标参数测试系统测试结果如下图所示:图6-2-1 测试结果6.3系统功能及指标参数分析经过测试表明,本系统不仅满足题目设计要求,而且充分发挥想象力,对作品进行了改

32、造,增加了很多新颖的功能。同时,本作品是根据以人为本的原则,将电机模拟为日常生活中的可以遥控调速的电风扇,彩色的显示屏幕更加人性化,这也是本作品的一大创新。7设计总结在学校里我们学习到的知识和简单的动手实践,要转化成为社会的生产力还需要一个平台。全国大学生电子设计竞赛给我们提供了一个培养创新、协作和钻研精神的平台,是大学生展现自己、积累经验的舞台。参加过“萌芽计划”科创训练计划的人,都从中体会到了奋斗的快乐、团队力量的伟大和来自压力的动力。“萌芽计划”是一块“试金石”,更是一个“练金场”。要想取得成功,需要有丰富的知识积累、灵活的头脑、坚强的意志、塌实肯干和永不放弃的精神。以下我在本次竞赛中的

33、体会和感想:性格决定命运,气度影响格局,态度决定高度,细节决定成败。对于此次竞赛,我们应该有正确的认识,我们要以学知识为目的,拿奖为动力。只有我们有丰富的知识和经验的积累,才能在赛场上赢得胜利。培训到竞赛是一个漫长的过程,期间心态很重要,会遇到很多问题,不懂的知识可以去学习;硬件、软件调不出来,只要有耐心,认真分析就能找出原因;阻碍我们发展的往往是自己心情,心胸开阔,善于接受意见和容忍别人的错误,才能在培训和竞赛中有所收获。“纸上得来终觉浅,绝知此事要躬行”。电子竞赛要求较强的动手能力,将理论转换为实际的操做,知识和经验需要我们在做课题时不断的积累,做课题时一定要合理分工,严格按要求完成,否则

34、到比赛时就后悔莫及。队员之间交流过少,可能做出来的东西分开调试都满足要求,一旦连接起来就出问题了。交流不仅能促进队员们的学习,还能及时发现问题处理问题。所以不管是比赛还是在日常的学习中都要与他人沟通,利用一切可以提高自己能力的资源。网络上更有丰富的资源,要做的东西在网上都能找到相关内容,这也是一个学习的过程,特别在一些论坛里有着丰富的资源。学会看英文文档,我们用到的芯片资料都是英文的,要学会找重点,找我们需要的东西,别人翻译的文档有可能错误或没说清楚。编程软件也是英文的,如果是没人教,就得自己看资料学习。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次“

35、萌芽计划”必将成为我人生旅途上一个非常美好的回忆!参考文献1张友德等,单片机原理应用与实验M,复旦大学出版社1992.2张毅刚,彭喜源,谭晓钧,曲春波.MCS51单片机应用设计M.哈尔滨工业大学出版社2001.1.3宋庆环,才卫国,高志,89C51单片机在直流电动机调速系统中的应用M。唐山学院,2008.44陈锟危立辉,基于单片机的直流电机调速器控制电路J,中南民族大学学报(自然科学版),2003.9.5李维军 韩小刚 李 晋,基于单片机用软件实现直流电机PWM调速系统J,维普资讯,2007.96曹巧媛.单片机原理及应用M.北京,电子工业出版社,1997.7刘大茂,严飞.单片机应用系统监控主程

36、序的设计方法J.福州大学学报(自然科学福建农林大学硕士论文版),1998.2.9朱定华,戴汝平编著.单片机原理与应用M.清华大学出版社北方交通大学出版社,2003.8.11薛钧义 张彦斌编著. MCS51/96系列单片微型计算M.西安交通大学出版社,1997.812陈国呈 编著.PWM逆变技术及应用M.中国电力出版社.2007年7月13马忠梅 等编著.单片机的C语言应用程序设计(第4版)M,北京航天航空大学出版社.2007. 414刘昌华,易逵编著.8051单片机的C语言应用程序设计与实践M.国防工业出版社2007.915翁家民,冯建勤,陶春鸣.单片机应用开发技术M.中国电力出版社,2009:

37、 1-20616谭浩强,卜家岐.C程序设计教程M.高等教育出版社,2005: 1-9617Chen Jingxian. Single chip microcomputer control DC motor PWM speed controller design J Journal of Zhanjiang Normal University, 2008 :123-126.18唐介.电机拖动M.第二版,高等教育出版社,2000.6 :168-18919宋健.基于L298的直流电动机PWM调速器J.潍坊学院报,2004(4),1-87附录1附录2附录3#include #include #incl

38、ude #includeLCD_driver.h#include18b20.h#includedelay.h#define uint unsigned int /自定义变量#define uchar unsigned char unsigned int idata tc=0xf800, 0xf900, 0xfa00, 0xfb00, 0xfc00, 0xfd00, 0xfe00, 0xff00, 0xffe0, 0xe7e0, 0xc7e0, 0xa7e0, 0x87e0, 0x47e0, 0x27e0, 0x07e0, 0x07c0, 0x07c4, 0x07c8, 0x07cc, 0x07

39、f0, 0x07f4, 0x07f8, 0x07fc, 0x07ff, 0x071f, 0x061f, 0x051f, 0x041f, 0x031f, 0x021f, 0x011f;/*引脚定义*/sbit open = P20; /开始按键sbit close = P21; /关闭按键sbit swap = P22; /反转按键sbit sub_speed = P24; /加速按键sbit add_speed = P23; /减速按键sbit PWM1 = P31; /L298N IN1端口sbit PWM2 = P30; /L298N IN2端口sbit EN = P34; /L298N使

40、能端sbit IR=P33; /红外接口标志/*全局变量声明*/bit irpro_ok,irok;bit flag; /记满1秒标志位uchar IRcord4;uchar irtime;/红外用全局变量uchar irdata33;uchar j; /定时次数,每次20msuchar f = 50; /计数的次数uchar k = 0;uchar t = 1; /脉冲加减char TempData16;char Tab16 = 0123456789ABCDEF;int colour = 5; /*函数声明*/void delays(); /延时函数void key();void T();

41、void Ir_work(void);void Ircordpro(void);void speed(uchar t); /*主函数*/void main () int temp; float temperature; char displaytemp16;/定义显示区域临时存储数组 LCD_Init(); /TFT初始化 Delayxms(20); /延时有助于稳定 Pant(0x00,0x00); /红色 LCD_CS = 0; /打开片选使能 TMOD = 0x21; /T0方式1 定时计数T1方式1计数TH0 =(65536-1000)/256; TL0 =(65536-1000)%2

42、56; ET0 = 1; TR0 = 1; /启动 t0 EN = 0; TH1 = 0x00; /重载值 TL1 = 0x00; /初始化值 ET1 = 1; /开中断 TR1 = 1; IT1 = 1; /指定外部中断1下降沿触发,INT1 (P3.3) 1:下沿触发 0:低电平触发 EX1 = 1; /允许外部中断1中断 EA = 1;while(1) /无限循环 ChineseChar(14+46,10,2,tccolour,colors4,1);/调速风扇 ChineseChar(46+46,10,2,tccolour,colors4,2); ChineseChar(78+46,10

43、,2,tccolour,colors4,3); ChineseChar(110+46,10,2,tccolour,colors4,4); LCD_ShowString16(0,45,tccolour,colors4,); ChineseChar(20,60,1,tccolour,colors4,1);/姓 ChineseChar(44,60,1,tccolour,colors4,2);/名 LCD_ShowString24(68,60,tccolour,colors4,:); ChineseChar(92,60,1,tccolour,colors4,3);/张力振 ChineseChar(11

44、6,60,1,tccolour,colors4,4); ChineseChar(140,60,1,tccolour,colors4,5); LCD_ShowString16(20,100,tccolour,colors4,English Name:); LCD_ShowString16(130,100,tccolour,colors4,Victor Nemo ); ChineseChar(20,130,1,tccolour,colors4,6);/ 专业 ChineseChar(44,130,1,tccolour,colors4,7); LCD_ShowString24(68,130,tcco

45、lour,colors4,:); ChineseChar(92,130,1,tccolour,colors4,8);/微电子 ChineseChar(116,130,1,tccolour,colors4,9); ChineseChar(140,130,1,tccolour,colors4,10); ChineseChar(20,170,1,tccolour,colors4,11);/ 班级 ChineseChar(44,170,1,tccolour,colors4,12); LCD_ShowString24(68,170,tccolour,colors4,:); ChineseChar(92,

46、170,1,tccolour,colors4,8);/微电二班 ChineseChar(116,170,1,tccolour,colors4,9); ChineseChar(140,170,1,tccolour,colors4,13); ChineseChar(164,170,1,tccolour,colors4,11); ChineseChar(20,170,1,tccolour,colors4,14);/ 学号 ChineseChar(44,170,1,tccolour,colors4,15);/ LCD_ShowString24(68,170,tccolour,colors4,:); L

47、CD_ShowString24(92,170,tccolour,colors4,20132124); ChineseChar(20,210,1,tccolour,colors4,20);/ 方式 ChineseChar(44,210,1,tccolour,colors4,21); LCD_ShowString24(68,210,tccolour,colors4,:); ChineseChar(20,250,1,tccolour,colors4,16);/ 温度 ChineseChar(44,250,1,tccolour,colors4,17);/ LCD_ShowString24(68,250

48、,tccolour,colors4,:); ChineseChar(20,290,1,tccolour,colors4,18);/ 转速 ChineseChar(44,290,1,tccolour,colors4,19); LCD_ShowString24(68,290,tccolour,colors4,:); /* 温度采集 */EA = 0; Temp = ReadTemp(); Temperature = (float)temp * 0.0625;sprintf(displaytemp,%7.3f C,temperature);/打印温度值 EA = 1;if(temperature =

49、 15 & temperature = 35)if(temperature 32)Colour = 3;elseColour = 35 - (int)temperature; LCD_ShowString24(92,250,tccolour,colors4,displaytemp);/*/ speed(t); /显示速度/*外部中断1中断处理*/void EX1_ISR (void) interrupt 2 /外部中断1服务函数 static unsigned char i; /接收红外信号处理 static bit startflag; /是否开始处理标志位if(startflag) if(

50、irtime = 33)/引导码 TC9012的头码,9ms+4.5ms I = 0; irdatai = irtime;/存储每个电平的持续时间,用于以后判断是0还是1 Irtime = 0; i+; if(i = 33) Irok = 1; I = 0; elseIrtime = 0;Startflag = 1;/*t0定时*中断函数*/void t0() interrupt 1 using 3 TH0 = (65536-1000)/256; TL0 = (65536-1000)%256;f-; key();if(k = 0) if(f = t) PWM1 = 1; PWM2 = 0; else PWM1 = 0; PWM2 = 0; elseif(f = t) PWM1 = 0; PWM2 = 1;else PWM2 = 0; PWM1 = 0;if(f = 1)f = 50; /*定时器1中断处理*/void t1(void) interrupt 3 using 3 irtime+; /用于计数2

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!