软件工程实验火车票自动售票系统分析报告文案

上传人:无*** 文档编号:85635958 上传时间:2022-05-06 格式:DOC 页数:96 大小:933.50KB
收藏 版权申诉 举报 下载
软件工程实验火车票自动售票系统分析报告文案_第1页
第1页 / 共96页
软件工程实验火车票自动售票系统分析报告文案_第2页
第2页 / 共96页
软件工程实验火车票自动售票系统分析报告文案_第3页
第3页 / 共96页
资源描述:

《软件工程实验火车票自动售票系统分析报告文案》由会员分享,可在线阅读,更多相关《软件工程实验火车票自动售票系统分析报告文案(96页珍藏版)》请在装配图网上搜索。

1、 . . . 火车票自动售票系统实验报告学 院: 电子与信息工程学院 专 业: 软件工程 班 级: 2010级本班 姓 名 : 亿、佳、曾玉婷、 硕、丽君 指导 蕾 起讫时间: 2012.022012.06 目录 1可行性研究报告引言51.1编写目的51.1.2背景61.1.3定义61.1.4参考资料61.2可行性研究的前提81.2.2要求81.2.2目标91.2.3条件、假定和限制101.2.4进行可行性研究的方法101.2.5评价尺度101.3对现有系统的分析101.3.1数据流程和处理流程101.3.2工作负荷121.3.3费用开支121.3.4人员131.3.5设备131.3.6局限性

2、131.4所建议的系统141.4.1对所建议系统的说明151.4.2数据流程和处理流程161.4.3改进之处171.4.4影响171.4.5局限181.4.6技术条件方面的可行性181.5可选择的其他系统方案181.5.1可选择的系统方案1181.5.2可选择的系统方案2191.6投资及收益分析191.6.1支出191.6.2收益201.6.3收益/投资比201.6.4投资回收周期211.6.5敏感性分析211.7社会条件方面的可行性211.8结论212项目开发计划引言222.1.1编写目的222.1.2项目背景222.1.3 定义232.1.4参考资料232.2项目概述232.2.1 工作容

3、242.2.2 主要参加人员242.2.3 产品及成果242.2.3.1 程序242.2.3.2 文件252.2.3.3 服务252.2.3.4 非移交产品252.2.4验收标准252.2.5 完成项目的最迟期限262.2.6 本计划的审批者与批准者262.3实施总计划262.3.1 工作任务的分析262.3.2 接口人员262.3.3 进度272.3.4 预算272.3.5 关键问题282.4支持条件282.4.1计算机系统支持282.4.2 需要用户承担的工作282.4.3 需由外单位提供的条件282.5. 专题计划要点293软件需求说明书引言293.1编写目的293.2围293.2.1

4、名称293.2.2 任务目标303.2.3 任务描述303.3参考资料303.4项目概述313.4.1项目来源313.4.2运行环境313.4.3产品功能313.4.4用户特点323.5具体要求323.5.1功能需求323.5.2功能组成333.6数据库343.6.1 数据关系343.6.2 数据定义353.8其他要求363.8.1可使用性363.8.2性363.8.3可维护性373.8.4控制373.8.5双方签字374数据要求说明书引言374.1编写目的374.1.1背景384.1.2定义384.1.3参考资料394.2数据的逻辑描述394.2.1静态数据394.2.2动态输入数据444.

5、2.3动态输出数据444.2.3.2部生成数据524.2.3.3数据约定534.3.数据的采集534.3.1要求和围534.3.2输入的承担着544.3.3影响555概要设计引言佳555.1.1编写目的555.1.2 背景555.1.4参考资料565.2.1运行环境575.2.2基本设计概念和处理流程575.2.3结构585.2.4功能需求与程序关系605.2.5人工处理过程605.2.6尚未处理的过程605.3 接口设计605.3.1用户接口605.3.2外部接口615.4 运行设计615.4.1运行模块组合615.4.2运行控制625.4.3运行时间625.5 系统数据结构设计635.5.

6、1逻辑结构设计要点635.5.2物理结构设计要点635.6 系统出错处理设计655.6.1出错信息655.6.2补救措施655.6.3统维护设计666详细设计说明书引言666.1. 编写目的676.1.1 项目背景676.1.2定义686.1.3参考资料696.2程序系统的组织结构706.3. 程序1结构符设计说明716.3.1登录模块716.3.2查询模块756.3.3 确认、购票模块797测试计划引言837.1编写目的837.1.1背景837.1.2术语定义847.1.3参考资料847.2测试需求857.3测试约束857.4相关参考文档857.4.1测试参考文档857.4.2测试提交文档8

7、57.5测试类型和策略867.5.1测试项目计划867.5.2功能测试867.5.3性能测试867.5.4负载测试877.5.5可靠性测试877.5.6数据和数据库完整性测试887.5.7接口测试887.5.8集成测试897.6测试项目说明897.6.1测试用例897.6.2测试结果937.7评价977.7.1软件能力977.7.2缺陷和限制977.7.3测试结果971可行性研究报告引言1.1编写目的 可行性研究的目的为明确将要设计的软件是否有开发价值,以最小的代价在最短的时间确定问题是否可解。对系统的开发是否是可行的。尽管对此项目进行详细调查研究,初拟系统实验报告,对软件开发中将要面临的问题

8、及其解决方案进行初步设计及合理安排。明确开发风险及其带来的经济效益。 主要读者:项目总监,项目经理,设计人员,开发人员,客户参考。1.1.2背景 开发软件名称:火车站自动售票系统 项目任务提出者:井冈山大学电子与信息工程学院软件工程课程设计提出 项目开发者:曾玉婷、亿、硕、佳、丽君 用户:火车站 实现软件单位:10软件工程本一班 项目与其他软件、系统的关系:本项目采用客户/服务器原理,客户端的程序是建立在Windows xp系统上一JAVA位开发软件的应用程序,是采用SQL server的为开发软件的数据服务程序。1.1.3定义 本项目主要用于查询查询票价,票数查询,时刻查询,售票订票、售票,

9、统计统计详细信息,生成表,实现了票额、座席、制票、计算、结算和统计等计算机管理,为铁路客户服务提供了有效的调控手段,系统维护功能。1.1.4参考资料 1软件工程导论第四版海藩,清华大学,20XX版. 2人月神话Frederick P.Brooks Jr,清华大学,20XX版. 3软件工程实用教程明,电子工业,20XX版.4软件工程与项目管理竹林、白振林、卢润彩编著,师大学,20XX7. 5赖义汉自动售货机控制系统VHDL有限状态机实现M:物理学与机电工程学院 6王雯隽基于Verilong-HDL自动售货机的设计与实现J20055 7王晓峰电子设计的EDA技术应用J师学院学报:自然科学版,200

10、5. 8阎石数字电子技术基础M4版:高等教育,1998 9侯伯亨VHDL硬件描述语言与数字逻辑电路设计M:电子科技大学,1999. 10人华MAX+PLUS 入门与提高M:清华大学,2004 11世界第一条地铁J岩土工程界,20XX第4期. 12东援世界地铁发展令人瞩目东援教授个人主页. 13黎江,承东自动售检票系统软件体系结构的探讨J轨道交通,200612. 14邓先平,凤敏我国城市轨道交通AFC系统的现状及发展J都市快讯交通,20053. 15余世明,晁岳磊,缪仁将自动售货机研究现状及展望J中国工程科学,2008. 16袁亚恒,周伟基于VHDL的自动售货机设计J理工大学学报,2007. 1

11、7林晶晶,胡志群,周晓燕基于VHDL自动售货机的设计J江师学院学报,2008. 18昕燕,周风臣,聂春燕EDA技术实验与课程设计M:清华大学,2006. 19Thomas & Moorby.The Verilog HardwBre Description LanguageM:清华大学,2001 20松,王国栋VHDL实用教程M:电子科技大学出版式社,2003 21宋万杰CPLD技术及其应用M:电子科技大学,1999. 22裴进灵一种新型自动售货机的研制J科技情报开发与经济,2002. 23朱军自动售货机的结构J信息与电脑,1995. 24周美兰FPGA电气控制与组态设计M:科学,2003. 2

12、5白丽自动售货机:第三次零售业革命J电子商务,2005. 26大宇,立晶自动售货机送出机构的设计及优化J商学院学报,2000. 27大宇,延华.自动售货机接入Intemet的一种新设计J商业大学学报,2004. 28詹昌平,金瓯基于移动支付的自动售货机J现代电子技术,2004. 29柳赛虎手机付费的多媒体自动售货机的控制系统设计D:西南交通大学,2006.1.2可行性研究的前提1.2.2要求火车站自动售票系统特殊售票普通售票售票付款售票查询系统维护管理纸币银行卡查询时刻查询车次查询票数查询票价图1.1功能层次图1. 主要功能:完善火车票售票系统,方便铁路局的售票售票工作,提高铁路局的服务质量和

13、服务效率。2. .性能要求:火车站提供的信息必须及时的反映在铁路局的工作平台上。售票系统的订单必须无差错的存储在火车站的主服务器上。对服务器上的数据必须进行及时正确的刷新。3. 输入要求:数据完整,详实。4. 输出要求:简捷、快速、实时。5. 安全与:售票员亭信息数据库、列车信息库的管理与修改。旅客只享有对票务信息库的部分修改写入与读出。 1.2.2目标 为提高火车站售票效率,防风险,实现票务信息资料共享,以适应现代化车站管理要求,为车站管理、票务信息提供详细的信息、明确的信息,具体如下:1. 建立车票综合查询系统。2. 减少售票员的数量与工作量,售票速度提高。3. 缓解售票大厅与人员拥堵问题

14、。1.2.3条件、假定和限制 该系统的最短寿命为5年,运行环境windows2000及以上的操作系统,网络方面可以直接利用火车站现有网络。1.2.4进行可行性研究的方法 经济评价法、市场预测法、投资估算法和增量净效益法、购票亲身体验。1.2.5评价尺度1. 成本2. 技术可行性3. 操作可行性1.3对现有系统的分析1.3.1数据流程和处理流程图1.2售票流程图启动售票系统读取信息选择座位售票成功打印车票学生等半价票普通购票特殊购票图1.3查询流程图读取乘客要查的信息顾客信息匹配Y查询成功反馈匹配票务信息N反馈没有查询到信息继续查询YN1.3.2工作负荷 现有系统承担整个铁路局所有的旅客购票业务

15、。1.3.3费用开支运行现有系统需支付费用清单如下:系统维护人员支出:400元/人天*10人=4000元/天机器磨损费用:20000元/天机器硬件维护费用:100元/人天*200=20000元/天其他一次性投资:操作员培训费:50000终端PC机20台:8000*20=1600000网络设备:100000辅助配置:1000001.3.4人员表1-1开发人员开发人员角色任务曾玉婷组长需求调研,可行性研究报告,测试计划,汇总亿组员项目开发计划,详细设计硕组员软件需求说明书,测试计划丽君组员数据要求说明书佳组员概要设计,详细设计表1-2用户所用软件人员功能用户注册、登入、售票、查询管理员管理数据库系

16、统维护员维护系统1.3.5设备终端PC机,网络设备,服务工作站1.3.6局限性 本系统适用于火车站那售票管理系统,实现销售卧铺、坐票等功能,本系统还存在系统维护及费用支出大,人员与设备技术含量低,功能单一等一些问题,需要在日后的维护工作中加以改善。1.4所建议的系统采用HVDL实现系统设计,VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076简称87版之后,各

17、EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,简称93版。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL语言是一种用于电路设计的高

18、级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用围较小的设计语言。 VHDL的英文全写是:VHSICVery High Speed Integrated CircuitHardware Description Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式

19、和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体可以是一个元件,一个电路模块或一个系统分成外部或称可视部分,及端口和部或称不可视部分,既涉及实体的部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成外部分的概念是VHDL系统设计的基本点。VHDL基本结构VHDL程序包括了三部分:程序包、实体、结构体。1程序包:定义在设计结构体和实体中将用到的常数、数据类型、子程序和设计好的电路单元等。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

20、USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;2实体:设计系统单元所需要的输入输出端口信息。ENTITY 实体名称 IS PORT;END实体名称 ;3结构体:描述电路单元具体的部结构和逻辑功能。ARCHITECTURE 结构体名 OF 实体名称 IS 说明语句BEGIN 电路功能描述语句END 结构体名;结构体注意事项:结构体不能单独存在,它必须有一个界面说明,即一个实体。每个实体可以有一个或多个结构体,每个结构体对应着实体不同结构和算法实现。多个结构体名应区分。对于具有多个结构体的实体,必须用CONFIGURATI

21、ON配置。结构体中说明和定义的数据类型、常数等只能用于该结构体中,若要用于其他实体或结构体中,需将其作为程序包来处理。1.4.1对所建议系统的说明 本系统操作方便,结合数据库安全可靠。可以完成顾客的查询、购票、付款等操作,减少了售票员的工作负担,避免由于售票员误操作给顾客造成的损失。为车站提供高效的车票管理、统计。实行了车票的公开透明的售票制度,实现了售票系统的电子自动化。1.4.2数据流程和处理流程图1.3数据流程图处理流程火车站自动售票系统大致可分为四个功能模块:车次选择模块、钱币处理模块、余额计算模块、显示功能模块。图1.4系统总体框图:车次选择模块钱币处理模块余额计算模块显示功能模块1

22、车票选择模块。当自动售票机通电时,表示乘客购票开始;乘客正常购买车票操作失误包括改变购买意向或不再购买,按rd按钮重新进入初始状态;在初始状态path、pri和qua生效时,顾客可以根据自己的需要,选择其中一种车票和票数,进入下一模块。2钱币处理模块。可以用纸币或者银行卡直接支付。3余额计算模块。当投人钱币总额大于或等于车票价格,并且按确认键后出票并找零;若投入钱币总额小于车票价格,售票机不出票。4显示功能模块。此模块根据输入提供的数据,用数码管来显示选择的是几号线、所选票面额、购买的票数、投入的钱币额及找赎的钱额。1.4.3改进之处反应速度快、效率高、系统稳定1.4.4影响 1.4.4.1对

23、设备的影响 有部分设备需要经常更新 1.4.4.2对软件的影响该软件可以兼容其他软件,充分利用其他软件的优点,能够更好的发挥其作用。 1.4.4.3对用户单位机构的影响 该软件操作比较简单,对用户简单培训就可以上岗进行操作,并且用户只需简单操作就可以完成任务,大提高了工作效率。 1.4.4.4对系统运行的影响 用户操作过程中如有错误可以返回首页,重新选择;减少资源浪费,使系统资源得到充分利用。 1.4.4.5对开发的影响 开发过程中需要进行密切的配合,准确阐明要求。 1.4.4.6对地点和设施的影响 无影响 1.4.4.7对经费支出的影响 主要包括购买系统开发所需软、硬件设备的费用,系统开发技

24、术人员的薪酬,系统运行及维护的费用。1.4.5局限 该系统没有实现退票及改票功能,旅客还需要到人工售票实现该操作。1.4.6技术条件方面的可行性 该软件是以数据库的设计与操作为系统设计的核心。在大学期间通过对数据库,编程语言及软件工程的相关课程的学习,具备一定的系统分析与设计能力,能够较熟悉地对数据库进行设计与操作。1.5可选择的其他系统方案1.5.1可选择的系统方案1 需要购票的顾客,进入页面后,输入所要购买车票的信息,进入检索系统,进行查询。等待车站车票信息中心的反馈信息。不存在所要购买的车票,退出本次业务,。存在所要车站的车次车票1利用自己的银行卡,购买车票。首先,将银行卡插入ATM机,

25、输入密码。在第一,二,三次正确输入密码后,方可进入操作页面,如果第四次错误,ATM机将认为这次是非法操作,ATM机吞卡ATM机查询该银行卡上余额是否足够购买车票。否,退出该次业务退卡。是则购买,ATM机在卡上扣除车票的钱,将划账信息传递给银行结算中心,将购买该车票的信息传递给车站车票处理中心。ATM机打印车票,打印ATM机业务小票,本次业务成功结束,退卡。支付车票所需的现金 ,系统先送出车票,然后送出纸币找零,或者转入与身份相对应的银行卡中。1.5.2可选择的系统方案2 需要购票的顾客,利用自己的银行卡,购买车票。首先,将银行卡插入ATM机,输入密码。在第一,二,三次正确输入密码后,方可进入操

26、作页面,如果第四次错误,ATM机将认为这次是非法操作,ATM机吞卡。进入ATM机页面后,输入所要购买车票的信息,进入检索系统,进行查询。等待车站车票信息中心的反馈信息。不存在所要购买的车票,退出本次业务,则退卡。存在所要车站的车次车票,购买。ATM机查询该银行卡上余额是否足够购买车票。否,退出该次业务退卡。是则购买,ATM机在卡上扣除车票的钱,将划账信息传递给银行结算中心,将购买该车票的信息传递给车站车票处理中心。ATM机打印车票,打印ATM机业务小票,本次业务成功结束,退卡。备选方案比较:备选方案1与备选方案2 基本功能相同,但是备选方案2必须使用银行卡,尽管这样但是他具有普及性只要在现有的

27、银行取款机中增加此项业务就行这样售票点会更多人们买票也就会越容易,同时减轻了售票机及接受现金又接受刷卡系统负荷,所以下面我们只针对备选方案2作后面可行性分析。1.6投资及收益分析1.6.1支出 1.6.1.1基本建设投资 购买软件、硬件、设备等。1.6.1.2其他一次性支出 1、需求的研究和设计的研究; 2、开发计划与测量基准的研究; 3、数据库的建立; 4、检查费用和技术管理费用; 5、培训费、旅差费以及安装人员所需要的一次性费用。 1.6.1.3非一次性支出 1、设备的租金和维护费用; 2、软件的租金和维护费用; 3、人员的工资、奖金; 4、空间的开支; 5、公共设施方面的开支; 6、安全

28、方面的开支; 7、其他经常性的支出等。1.6.2收益 1.6.2.1一次性收益 无 1.6.2.2非一次性收益 按银行利率:1%;减少员工20人1000元/人五年收益:1000*1.1+1.1*2+1.1*3+1.1*4+1.1*5*20*12*5=120万工作效率提高收益工作效率提高30%:30*1.1+1.1*2+1.1*3+1.1*4+1.1*5*30%*5=45万经常性收益共计:160万 1.6.2.3不可定量的收益 服务质量的提高,使得顾客量的提高,从而提高销量。1.6.3收益/投资比总收益估算为:700万元 投资估算为:450万元 收益/投资=700/450=1.551.6.4投资

29、回收周期5年1.6.5敏感性分析 该系统最多需要支持20台计算机同时运行系统工作,若计算机数量过多会增加系统负荷量和处理速度,降低工作效率,总机性能不要过低。1.7社会条件方面的可行性 1.7.1法律方面的可行性 火车售票系统是一个有关于亿万中国人的系统,可以说是与国计民生有着重要的联系,为人们提供方便的服务。而且该软件在没有任何原型软件与方法,所以在法律方面不会存在侵犯专利权,侵犯等问题,完全按照合同规定的做着工作。 1.7.2使用方面的可行性 本产品简单易用,只需要经过简单的操作培训,或者用户手册即可熟练操作。1.8结论 经过初步的系统调查,给出了可行性研究报告,还须对现行系统进行全面、深

30、入的详细调查和分析,弄清楚现行系统运行状况,发现其薄弱环节,或系统的弊端问题,挖掘出要解觉的问题实质,以对新系统进行扩充,确保新系统比原系统更有效。 由于投资效益远大于100%,技术、经济、操作都有可行性,可以进行开发。2项目开发计划引言2.1.1编写目的该项目开发计划是根据铁道部票务部门对车票管理和预先订票的要求编写的,目的是讨论小组成员做的可行性研究,共同制定该项目开发的的总体计划,使小组成员在工作前有纲领性计划,提高工作效率,节约能力和物力资源。2.1.2项目背景开发软件的名称:火车票自动售票系统项目的任务提出者:老师项目开发者:电子与信息工程学院计算机系10级软件工程本一班第五小组用户

31、:乘客实现软件的单位:学校项目与其他软件或其他系统的关系:相辅相成、互相学习。火车站市场的管理和规问题,是困扰我们多年的一个老问题,也是政府管理中的 一个难点,订票是客运业务中的一个最基本的业务,表面上看,它只是火车站业务的一 个简单的部分,但是它涉及到管理与客户服务等多方面,因此,过去传统的售票方式已 经不能满足现代客运业务流量剧增的客观要求, 这就要求一种全新的订票方式 火车票自动售票机,来缓解订票高峰时期的客运压力,并为用户提供方便快捷的订票服务。 目前火车站售票的状况是仅靠手工操作, 以现有的工作人员很难应付车票订购高峰 时刻的大量数据处理问题。同时还会出现由此带来的大量记录存放和管理

32、所带来的问 题。本次设计拟开发一个火车站网上订票系统,可以降低工作人员的工作量,提高工作 人员的工作效率,同时方便顾客订票。2.1.3 定义实体:客观世界中存在的且可互相区别的事物。 _客观事物中的彼此之间的关系。属性:实体或者联系所具有的性质。2.1.4参考资料a.项目经核准的计划任务书、合同或上级机关的批文 b.参考书籍 软件工程导论第5版 海藩 编著 清华大学 软件工程导论第2版 Rajib Mall 编著 马振晗 胡晓 译 清华大学 软件工程 王利福 世琨 朱冰 编著大学 数据库原理及应用 绍原 主编 科学 数据库管理系统从技术到实践 国辉编著 网络信息资源以及一些相关的软件产品。2.

33、2项目概述2.2.1 工作容火车票自动售票系统针对的用户是需要查询火车票信息及购买火车票的人。该系统需要实现如下主要功能及相关说明:A. 登入系统: 通过有效的身份验证,登入系统。B. 火车票信息查询: 站与站的火车票信息查询、火车车次的查询、座位类型设定、以及时间的查询。C. 购买火车票: 在查询火车票信息之后,确认购票。D. 支付: 选择支付方式,进行购票。E. 储存用户信息: 用户购票成功后,自动储存用户信息。F. 打印车票G. 退出系统。2.2.2 主要参加人员 组长:曾玉婷 组员:亿、佳、硕、丽君2.2.3 产品及成果2.2.3.1 程序1 程序名称:train-kicket-net

34、 2 使用语言:c+ 3 存储形式:硬盘存储2.2.3.2 文件 1. 关于程序的说明性文档 2. 关于使用时的说明性文档 3. 关于系统维护的指导文档 2.2.3.3 服务1. 人员培训,为相关部门的工作人员提供必要的技术培训;2. 安装、维护和运行支持从使用该系统开始,维护期限为一年。2.2.3.4 非移交产品 项目的修改信息、项目的基本计划方案等 2.2.4验收标准各个功能均能正常使用,满足用户的需求。2.2.5 完成项目的最迟期限完成期限:20XX06月03日2.2.6 本计划的审批者与批准者 审批者: 老师 批准者: 老师2.3实施总计划2.3.1 工作任务的分析可行性研究报告 曾玉

35、婷项目开发计划 亿软件要求说明书 硕数据要求说明书 丽君概要设计 佳详细设计 亿、佳测试计划 曾玉婷、硕2.3.2 接口人员 曾玉婷、亿、佳、硕、丽君2.3.3 进度系统规划阶段: 项目标志性事件 开始到完成开发阶段: 项目开发计划书的完成 5.06 - 5.07需求分析阶段: 系统需求说明书完成 5.08 - 5.10设计阶段: 系统设计说明书 5.11 - 5.15编码实现: 项目的形成 5.16 - 5.25测试阶段: 测试计划 5.26 - 5.30移交信息: 项目的递交 5.31 - 6.02模块名称起始时间完成时间登陆09.92009.1010查询09.101109.10.31网上

36、付款09.11.0109.1115储存用户信息09.111609.11.30打印车票09.12.0109.12.232.3.4 预算 1各种软硬件设备以及交通等各方面基本费用10万人民币 2投入使用后的维护费用1万元人民币每年 3人员培训及系统损耗费用5000元人民币每年2.3.5 关键问题 1连接SQL Server 2000 2JSP数据库连接电池的配置 对策:参照数据库管理系统开发从技术到实践中的相关介绍解决2.4支持条件2.4.1计算机系统支持操作系统需要 windows 2000以上;操作系统环境: windows xp/windows 7;数据库需要 Microsoft Acces

37、s 95;数据库驱动:Microsoft 公司的 sq1jdbc 驱动;前台开发工具:Visual Basic 6.0;前台界面类型:windows 窗体应用程序。2.4.2 需要用户承担的工作1正确填写和保存用户信息2遵守系统的相关规定。2.4.3 需由外单位提供的条件1保证票务信息的准确性;2对用户信息要绝对;3提供安全的网上支付系统。2.5. 专题计划要点 1. 火车票自动售票系统与火车站售票信息的实时共享,保证用户得到及时准确的信息; 2. 数据库对网上订票信息存储的可靠性和安全性,防止黑客等不法分子的入侵; 3. 网上支付的安全性以及打印终端的可靠性; 4. 操作的方便简单,使该系统

38、大众化; 5. 系统容易维护,节省维护的费用。3软件需求说明书引言3.1编写目的对火车站自动售票系统进行需求分析。3.2围3.2.1 名称火车站自动售票系统3.2.2 任务目标本系统旨在提供更友好的界面、更健康的操作与更实用的管理,从而降低火车站售票员的劳动强度、提高其劳动效率,进而提升服务质量,减少购票者排队购票时间,提高老娘客的满意度。3.2.3 任务描述策划阶段:搜集参考资料,设计系统整体结构,整体进度安排,选定编程语言与数据库设计工具。设计阶段:软件模块设计,数据库定义与设计,代码编写。测试阶段:系统整体调试,分析,相应代码修改。维护阶段:根据客户需求,不断更新系统版本。3.3参考资料

39、 1软件工程导论第四版海藩,清华大学,20XX版. 2人月神话Frederick P.Brooks Jr,清华大学,20XX版. 3软件工程实用教程明,电子工业,20XX版. 4软件工程与项目管理竹林、白振林、卢润彩编著,师大学,20XX7. 5赖义汉自动售货机控制系统VHDL有限状态机实现M:物理学与机电工程学院 6王雯隽基于Verilong-HDL自动售货机的设计与实现J20055 7王晓峰电子设计的EDA技术应用J师学院学报:自然科学版,2005. 8阎石数字电子技术基础M4版:高等教育,1998 9侯伯亨VHDL硬件描述语言与数字逻辑电路设计M:电子科技大学,1999. 10人华MAX

40、+PLUS 入门与提高M:清华大学,2004 11世界第一条地铁J岩土工程界,20XX第4期. 12东援世界地铁发展令人瞩目东援教授个人主页.3.4项目概述3.4.1项目来源随着时代的进步,社会的发展,人们的生活形式与习惯也越来越多样化,出行成为了人们生活中得一个重要组成部分,而火车成为许多人出差、远行所使用最多最平民化的交通工具。面对巨大数量的乘客的购票需要,火车站就需要使用先进的管理方法来实现方便、快捷的售票、退票等票务管理。随着计算机的普及,信息处理量的逐渐扩大,手工处理方式远远不能满足人们管理活动的需要,个种工作都逐渐由手工转为自动化,使许多复杂、繁琐而且需要很多人力的工作变得简单。火

41、车站每天产生售票、退票等行为数量多,使得火车站售票管理的数据最大,而且速度要求快,传统的人力行为为适应计算机应用软件的使用则能很好地满足火车站的这一需要,一高售票人员的工作效率,节约相关管理成本。3.4.2运行环境3.4.2.1 用户界面本系统用户界面统一使用网页格式提供,使用Macromedia Dreamweaver 8所提供的标准控件,控件排列格式简单整齐,菜单使用弹出式下拉菜单。3.4.2.2 硬件环境 486或更高档PC台式机,笔记本电脑,或WindowsCE;打印机3.4.2.3 软件环境可选软件平台:中文Win 7 、Windows XP3.4.2.4 故障处理正常使用时不应出错

42、,若运行时遇到不可恢复的系统错误,也必须保证数据库完好无损。3.4.3产品功能售票系统主要功能为:根据目的站、车次、时间等信息查询有关车票情况;提供多种方式完成售票工作;现金结算、票据打印;提供与退票相关的一些信息查询手段,完成退票、废票、改签换乘等业务;售票信息统计,打印详细报表。(1) 车次管理:车次详细信息的编辑,车次管理中得数据源为Access数据库中Car表,在此管理部分中,能够使用界面操作实现车次信息的编辑,并将数据库中得数据按照编辑的要求来集市更新数据表中得相关数据。(2) 票价管理:票价详细信息的录入、修改、删除,它的数据源为Access数据库中Price表。在此管理部分中,通

43、过票价管理界面来选择记录并决定执行何种操作,是修改、添加或删除。当命令为修改或者添加时则自动跳转到票价编辑窗口实现票价信息的编辑并更新数据库中相应数据记录。(3) 票务管理:提供多种方式完成售票工作;现金结算、票务打印;提供与退票相关的一些信息查询手段,完成退票、废票、改签换乘等业务;(4) 用户管理:所有系统用户管理,包括系统普通用户与系统管理员。此管理模块数据源为Access数据库中Users表。在系统主界面中首先进入用户管理主窗体,选择添加或删除用户进入用户编辑界面进行操作,操作完成便更新数据库数据。(5) 查询管理:通过查询界面可以根据条件进行火车车次时刻、车次票价查询。3.4.4用户

44、特点使用该系统的主要用户是火车站售票管理工作人员、系统管理员、购票乘客。该系统分为普通用户和系统管理员两种权限登陆。火车站的普通用户只能使用该系统的查询、售票管理等基本功能;火车站售票管理工作人员能对票价进行管理;系统管理员则能实现系统用户与普通用户的添加、修改普通用户与系统管理员的密码,以此确保系统能够安全操作,避免不必要的损失。3.5具体要求3.5.1功能需求实现售票最基本的功能,并提供各个环节详细的统计记录。3.5.2功能组成在这个火车站自动售票系统下设有五个不同模块的功能:分别是车次管理、票价管理、票务管理、用户管理和查询管理。车次管理中实现车次信息的编辑,票价管理部分实现票价信息的录

45、入、修改于删除以及票价折扣信息的录入、修改于删除。票务管理部分实现前台售票、退票功能。用户管理部分实现用户添加、用户信息修改与用户的删除。具体联系如图所示3.5.3功能逻辑3.6数据库3.6.1 数据关系3.6.2 数据定义本项对产品的一部分进行开发的数据库规定一些需求,他们可能包括:3.7性能需求3.7.1 数据精确度查询时应保证查全率,所有相应域包含查询关键字的记录都应能查到,因为通常的ISCDS个人关系数据库系统规模在几十到几百项记录,一查全率为标准所带来的对查准率的影响并不大。3.7.2时间特性3.7.2.1响应时间统计、查询数据数据的相应时间控制在30秒。3.7.2.2更新处理时间局

46、域网数据库在网络无故障的情况下,插入一条数据和更新一条数据的数据库操作响应时间控制在0.5秒/条之。3.7.2.3数据的转换和传送时间在拨号网络连接通后,交换数据以数据单元形式进行,所有数据交换过程控制在20分。3.7.2.4适应性程序启动和初始化时间控制在3秒之满足个人使用的需求记录两控制在1000项对前面提到的运行环境要求不应存在困难3.8其他要求火车站自动售票系统数据库中得数据量十分庞大,而且更新速度十分快。在数据大小方面要求数据的属性药设置合理,假如是数据的属性值越大,则数据本身所占用容量也就十分的庞大,有坑能削弱系统运行的速度,使得系统无法达到预期的目的。另外,在整个系统当中要确保数

47、据在保存于传递过程中得安全,以免发生严重的错误,造成巨大的损失。3.8.1可使用性在装载程序时,正常就运行,异常就停止;编程系统出现错误,将会产生不可预见的问题,热启,整个终端程序就会在启动;程序出现错误,重心装载,若仍有错误,按照提示逐渐装载。3.8.2性本软件作为火车站自动售票系统管理辅助设备,需要技术;限定一个程序中某些秋雨的规约,给不同的模块分配不同的功能。3.8.3可维护性本软件的组成程序为java设计语言,组构均叫为简单、直观意义上较为独立。因此,给予电子化的构成的硬件的简单可维护的特点,决定了该软件的简单可维护。3.8.4控制本软件是以汉语程序设计语言的操作系统来控制软件运行。3

48、.8.5双方签字需求方需方:开发方供方:日期:4数据要求说明书引言 随着现代科学技术的快速发展,自动售票系统也越来越广泛应用于各种交通和娱乐领域,给人们带来了前所未有的方便。而目前,我国的火车票依然是有工作人员进行人工售票服务,这种单一的售票模式在现实生活中存在很多的不足。 在市场现阶段火车票售票系统的不完善、运行效率普遍低下、操作过于复杂的缺点正是我们自动售票系统竞争的一大优势,可以在初期阶段赢得一部分市场5%左右,经过口碑建立良好服务来完成新的市场占有目标20%左右,在进一步推出产品衍生品来扩大已有市场完成更大市场占有。4.1编写目的 数据要求说明书是整个数据库设计的关键,能够真实充分的反

49、映现实数据,易于理解、易于更改、易于查看各种数据的流向及关系。主要读者:项目总监,项目经理,设计人员,开发人员4.1.1背景 开发软件名称:火车站自动售票系统项目任务提出者:井冈山大学电子与信息工程学院软件工程课程设计提出项目开发者:曾玉婷、亿、硕、佳、丽君用户:火车站实现软件单位:10软件工程本一班项目与其他软件、系统的关系:本项目采用客户/服务器原理,客户端的程序是建立在Windows xp系统上一JAVA位开发软件的应用程序,是采用SQL server的为开发软件的数据服务程序。4.1.2定义系统采取集中与分布相结合的方案,在全路票务中心安装中央数据库,Sybase领先的数据库产品Ada

50、ptive Server Enterprise、Replication Server、Sybase IQ,中间件产品Open Client、Open Server以及开发工具PowerBuilder和PowerDesigner在其中都有着非常重要的应用;这一系统主要用于计划与调度全系统的数据,并接收下一系统的统计数据和财务结算数据。在地区票务中心设有地区数据库,Sybase的Adaptive Server Enterprise、Replication Server、Open Client、Open Server、PowerBuilder、PowerDesigner将全面支持这一数据库,它主要用

51、于计划与调度本地区数据,并可响应异地购票请求。系统的基础部分是由Sybase的Adaptive Server Enterprise、Replication Server、Open Client、Open Server、PowerBuilder、PowerDesigner构成的车站售票系统,它主要具有售票、预订、退票、异地售票、统计等多种功能。中国铁路客票发售和预订系统实现了计算机联网售票,并且有出售返程、联程等异地购票的功能,实现了票额、座席、制票、计算、结算和统计等计算机管理,为铁路客户服务提供了有效的调控手段。4.1.3参考资料 1软件工程导论第四版海藩,清华大学,20XX版. 2人月神话

52、Frederick P.Brooks Jr,清华大学,20XX版. 3软件工程实用教程明,电子工业,20XX版. 4软件工程与项目管理竹林、白振林、卢润彩编著,师大学,20XX7. 5赖义汉自动售货机控制系统VHDL有限状态机实现M:物理学与机电工程学院 6王雯隽基于Verilong-HDL自动售货机的设计与实现J20055 7王晓峰电子设计的EDA技术应用J师学院学报:自然科学版,2005. 8阎石数字电子技术基础M4版:高等教育,1998 9侯伯亨VHDL硬件描述语言与数字逻辑电路设计M:电子科技大学,1999. 10人华MAX+PLUS 入门与提高M:清华大学,2004 11世界第一条地

53、铁J岩土工程界,20XX第4期. 12东援世界地铁发展令人瞩目东援教授个人主页. 13黎江,承东自动售检票系统软件体系结构的探讨J轨道交通,200612.4.2数据的逻辑描述4.2.1静态数据ER图总体数据需求详细信息ER图XX顾客性别XX号码车站编号车站名车站类别人流量图片订单编号票价编号票数起点票类日期终点车厢号、座位号列车编号金额途经站台列车编号列车类型历经时间列车类车厢号、座位号终点起点数据字典设计名字:车次查询别名:描述:关于车次类型、座位类型、发车时间、到站时间、途经站台、路程、时间的集合。定义:出发时间+到站时间+车次类型+座位类型+途经站台车次类型=车次+列车类型+车厢号+座位

54、类型座位类型=硬座|软座|卧铺出发时间、到站时间=年+月+日车次=A字母Z+0数字9车厢号=0数字9座位号=0数字9位置:查询系统名字:票务信息别名:描述:出发站+到达站+出发时间+到达时间+途经站台+车次类型+座位类型车次类型=车次+列车类型+车厢号+座位类型座位类型=硬座|软座|卧铺出发时间、到站时间=年+月+日车次=A字母Z+0数字9车厢号=0数字9座位号=0数字9位置:购票系统、查询系统名字:车票别名:描述:出发站+到达站+出发时间+到达时间+途经站台+车次类型+座位类型+身份信息车次类型=车次+列车类型+车厢号+座位类型座位类型=硬座|软座|卧铺出发时间、到站时间=年+月+日车次=A字母Z+0数字9车厢号=0数字9座位号=0数字9身份信息=+码=0数字9位置:购票系统 购票系统名字:卡务信息别名:描述:顾客的个人信息以及卡务信息的集合定义:+身份信息+支出信息+收入信息+余额信息+支出、收入时间身份信息=+码=0数字9支出信息=+身份信息+支出金额+支出时间+支出人员+支出地点+余额信息余额信息=0数字9支出、收入信息=年+月+日位置:购票系统4.2.2动态输入数据 动态数据:顾客所购票数,车站剩余票数,每天客

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!