单片机数字音乐盒设计

上传人:沈*** 文档编号:84866281 上传时间:2022-05-04 格式:DOC 页数:18 大小:119KB
收藏 版权申诉 举报 下载
单片机数字音乐盒设计_第1页
第1页 / 共18页
单片机数字音乐盒设计_第2页
第2页 / 共18页
单片机数字音乐盒设计_第3页
第3页 / 共18页
资源描述:

《单片机数字音乐盒设计》由会员分享,可在线阅读,更多相关《单片机数字音乐盒设计(18页珍藏版)》请在装配图网上搜索。

1、-单片机数字音乐盒设计摘 要:本设计是采用单片机为核心设计的数字音乐播放器。它可以实现音乐的播放,可以通过功能键来选择乐曲,播放或暂停,上一曲或下一曲,并可以通过LCD屏幕显示正在播放的歌曲的序号,以及播放时间。开机时有英文欢送提示字符。本音乐播放器可以播放十首歌曲。主控芯片采用AT89C51,采用汇编语言进展编程,编程后利用KEIl C51进展编译,用Proteus软件来仿真。关键词:数字音乐盒;单片机;智能化Digital Music Bo*DesignCHENYING Instructor:HUKEYONGAbstract:This designisusingmicrocontrolle

2、r as the coredesignof thedigitalmusicplayer .It can playmusic.It can function keystoselect a song,play or pause ononeorthe ne*tone.and the serial numberofthesong that is playing, as well as playtimecanbedisplayedthrough the LCDscreen.English bootwele promptcharacters.The music playercan playtensongs

3、.The master chip isAT89C51,assembly languageis programming, programming byKEIl C51pile,then usingProteus softwareto simulate.Keywords:Digital Music Bo*,SCM,Intelligent目录1 引言11.1 单片机总体功能简介12 系统设计12.1 总体设计方案12.2 系统硬件设计22.3 主要元器件介绍42.3.1 AT89C51单片机42.3.2 LM016L液晶显示器52.4 proteus软件介绍63 软件设计及仿真73.1程序流程73.

4、2 系统初始化程序设计73.3 音调确实定83.4工程仿真9总结10参考文献:10附录一11附录二11. z.-1 引言目前,各种传感器、变送器、控制仪表已经普遍采用单片机应用系统。它测量、处理、控制功能于一单片机是单片微型机的简称,故又称为微控制器MCU。通常由单块集成电路芯片组成,部含有计算机的根本功能部件:中央处理器CPU,存储器和I/O接口电路等。因此,单片机只要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。1.1 单片机总体功能简介单片机是在工业测控需要背景下而产生的,在一个应用系统中。按照测控系统的特点和要求,单片机的应用可分为单机应用和多机应用两大类。我们这次要完成的

5、单片机课程设计就是它的单机应用,下面介绍一下单片机应用领域的主要容。1 智能产品单片机与传统机械产品相结合,使传统机械产品构造简化,控制智能化,购成新一代机电一体化产品。目前,利用单片机构成的智能产品已广泛应用于家用电器、办公设备、数控机床、纺织机械、 工业设备等行业。2 智能仪表 目前,各种传感器、变送器、控制仪表已经普遍采用单片机应用系统。它测量、处理、控制功能于 一体,具有各种智能化功能,如存储、数据处理、查找、判断、联网和语音等功能。单片机构成的智能仪表,能使仪表具有数字化、智能化、多功能化、综合化等优点。3 测控技术用于单片机构造的各种工业控制系统中的数据采集系统具有工作稳定可靠、抗

6、干扰能力强的优点。4 智能接口在计算机系统,特别是较大型的工业测控系统中,除通用外部设备外,还由许多外部通信、采集、多路分配管理、驱动控制等接口。这些外部设备与接口如果完全由主机进展管理,势必会造成主机负担过重,运行速度降低,接 口的管理水平也不可能提高。如果用单片机进展接口的控制与管理,单片机与主机可并行加工处理,可以大量降 低接口的通信密度,极大的提高了接口控制广利水平。在一些通用计算机外部设备上,以实现了单片机的键盘管理、打印机控制、硬盘驱动控制等。2 系统设计2.1 总体设计方案1利用AT89C51单片机的I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲。2LCD液

7、晶显示歌曲的序号、播放时间,开机时显示英文欢送字符。3用键盘控制10首歌曲的播放,并设置开机键、暂停键、上一曲、下一曲控制键。2.2 系统硬件设计硬件功能模块划分:单片机最小系统模块、按键模块、蜂鸣器电路模块、LCD液晶显示屏模块。系统构造框图如图2.1所示LCD液晶显示AT89C51单片机复位电路晶振电路电源电路蜂鸣器电路4*4按键矩阵电路图2.1 数字音乐盒系统构造框图1单片机最小系统模块最小系统模块包括单片机、复位电路、晶振电路以及电源电路。如图2.2所示图2.2 单片机最小系统2按键模块选用4*4矩阵键盘,如图2.3所示,14端口扫描行,58端口扫描列图2.3 按键模块4*4键盘构成与

8、键盘对应功能如图2.4所示曲一曲二曲三曲四曲五曲六曲七曲八曲九曲十下曲上曲暂停开机图2.4 键盘对应功能图3蜂鸣器电路模块蜂鸣器电路模块由单片机接口外接两个1K电阻、一个100欧电阻和一个NPN型三极管来驱动扬声器。其主要任务是输出大信号和大功率,对音频信号有效不失真的进展放大以推动扬声器发出声音。如图2.5所示2.5 蜂鸣器电路4LCD液晶显示屏模块LCD液晶显示屏如图2.6所示,D0D7为传送数据信息引脚,E为使能信号引脚,而当RS为1,R/W为0时,设定为将数据信息写入LCD的数据暂存区图2.6 LCD液晶显示屏模块2.3 主要元器件介绍2.3.1 AT89C51单片机本系统采用的是51

9、系列的AT89C51单片机。AT89C51是一种带4K字节闪烁可编程可擦除只读存储器FPEROMFlash Programmable and Erasable Read Only Memory的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器, 为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。图2.7为AT89C51单片机的管脚图。图2.7 AT89C51单片机管脚图VCC:正电源端GND:单片机

10、的接地端*TAL1/*TAL2:片振荡电路输入/输出端,两端跨接晶体或瓷谐振器,与单片机部反相器构成稳定的自激振荡器。发出的脉冲直接送入片定的控制部件RESET:复位端EA/VPP:寻址外部ROM控制端/编程电源输入端。ALE/PROG:地址锁存允许/编程脉冲输入端。PSEN:寻址外部程序存储器,选通外部EPROM的端口OE。P0.0P0.7 P1.0P1.7 P2.0P2.7:准双向I/O口。P3.0P3.7:具有特定的第二功能准双向I/O口。2.3.2 LM016L液晶显示器LM016L液晶模块采用HD44780控制器,HD44780具有简单而功能较强的指令集,可以实现字符移动,闪烁等功能

11、,LM016L与单片机MCU通讯可采用8位或4位并行传输两种方式,hd44780控制器由两个8位存放器,指令存放器IR和数据存放器DR忙标志BF,显示数RAMDDRAM,字符发生器ROMACGOROM字符发生器RAMCGRAM,地址计数器RAM(AC)。IR用于存放指令码,只能写入不能读出,DR用于存放数据,数据由部操作自动写入DDRAM和CGRAM,或者暂存从DDRAM和CGRAM读出的数据,BF为1时,液晶模块处于部模式,不响应外部操作指令和承受数据,DDTAM用来存储显示的字符,能存储80个字符码,CGROM由8位字符码生成5*7点阵字符160种和5*10点阵字符32种。图2.8为LM0

12、16L液晶显示屏的引脚图图2.8 LM016L 液晶显示屏引脚图VSS:一般接地VCC:接电源+5VV0:液晶显示器比照度调整端,接正电源时比照度最弱,接地电源时比照度最高比照度过高时会产生“鬼影,使用时可以通过一个10K的电位器调整比照度。RS:RS为存放器选择,高电平1时选择数据存放器、低电平0时选择指令存放器。R/W:R/W为读写信号线,高电平(1)时进展读操作,低电平(0)时进展写操作。E:E(或EN)端为使能(enable)端,下降沿使能。DB0DB7:底4位三态、 双向数据总线 06位RS、R/W存放器选择控制如表2.1所示:表2.1 RS、R/W存放器选择控制表RSR/W操作说明

13、00写入指令存放器去除屏等01读busy flagDB7,以及读取位址计数器DB0DB6值10写入数据存放器显示各字型等11从数据存放器读取数据2.4 proteus软件介绍Proteus与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是*些语句执行时单片机存放器和存储器容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从*种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。运行proteus的ISIS程序后,进入该仿真软件的主界面。在工作前,要设

14、置view菜单下的捕捉对齐和system下的颜色、图形界面大小等工程。通过工具栏中的p(从库中选择元件命令)命令,在pickdevices窗口中选择电路所需的元件,放置元件并调整其相对位置,元件参数设置,元器件间连线,编写程序;在source菜单的Definecodegenerationtools菜单命令下,选择程序编译的工具、路径、扩展名等工程;在source菜单的Add/removesourcefiles命令下,参加单片机硬件电路的对应程序;通过debug菜单的相应命令仿真程序和电路的运行情况。Proteus软件所提供的元件资源Proteus软件所提供了30多个元件库,数千种元件。元件涉及

15、到数字和模拟、交流和直流等。3软件设计及仿真3.1程序流程先从主程序开场,接着初始化变量及 LCD 接口,使 LCD进入了开机状态,等待选择按键,包括播放键,暂停键,停顿键。键盘采用动态扫描方式,每次扫描一行键盘,送此行低电平,读输入口的状态值,判断有没有键按下。如有键按下,则根据读入口的值选择显示值并送至显示值存放单元,判断键值;启动计数器T0,根据次值为偏移地址找到要选择的音乐的代码的首地址,根据代码产生一定的频率脉冲,驱动蜂鸣器,放出声音;同时启动定时器T1,计算音乐的播放时间,并且启动LCD,在LCD上显示序号和播放时间。程序流程图如图3.1所示图3.1 主程序流程图和LCD显示流程图

16、3.2 系统初始化程序设计RS BIT P2.0;引脚定义,定义液晶显示端口标号RW BIT P2.1E BIT P2.2L50MS EQU 60H ;工作存定义L1MS EQU 61HL250MS EQU 62HSEC EQU 65HMIN EQU 64HHOU EQU 63HORG 0000HLJMP MAINORG 000BH ;定时器T0溢出中断入口地址LJMP TT0ORG 001BH ; 定时器T1溢出中断入口地址LJMP T1INTORG 1000HMAIN: ;液晶初始化 MOV SP,*70H MOV P0,*01H ;清屏 CALL DISPLAY MOV P0,*38H

17、;8位,2行显示 LCALL DISPLAY MOV P0,*0FH ;屏显on,光标on,闪烁on LCALL DISPLAY MOV P0,*06H ;计数地址加1,显示幕on LCALL DISPLAYLCALL INITIL ;存初始化INITIL:MOV R3,*08HMOV R0,*30H3.3 音调确实定不同音高的乐音是用C、D、E、F、G、A、B来表示,这7个字母就是音乐的音名,它们一般依次唱成DO、RE、MI、FA、SO、LA、SI,即唱成简谱的1、2、3、4、5、6、7,相当于汉字“都喏米发梭拉西的读音,这是唱曲时乐音的发音,所以叫“音调,即Tone。把C、D、E、F、G、

18、A、B这一组音的距离分成12个等份,每一个等份叫一个“半音。两个音之间的距离有两个“半音,就叫“全音。在钢琴等键盘乐器上,CD、DE、FG、GA、AB两音之间隔着一个黑键,他们之间的距离就是全音;EF、BC两音之间没有黑键相隔,它们之间的距离就是半音。通常唱成1、2、3、4、5、6、7的音叫自然音,那些在它们的左上角加上号或者b号的叫变化音。叫升记号,表示把音在原来的根底上升高半音,b叫降记音,表示在原来的根底上降低半音。高音DO的频率1046Hz刚好是中音DO的频率523Hz的一倍,中音DO的频率523Hz刚好是低音DO频率266 Hz的一倍;同样的,高音RE的频率1175Hz刚好是中音RE

19、的频率587Hz的一倍,中音RE的频率587Hz刚好是低音RE频率294 Hz的一倍。要产生音频脉冲,只要算出*一音频的周期1/频率,然后将此周期除以2,即为半周期的时间。利用定时器计时这半个周期时间,每当计时到后就将输出脉冲的I/O反相,然后重复计时此半周期时间再对I/O反相,就可在I/O脚上得到此频率的脉冲。利用AT89S52的部定时器使其工作在计数器模式MODE1下,改变计数值TH0及TL0以产生不同频率的方法。此外完毕符和休止符可以分别用代码00H和FFH来表示,假设查表结果为00H,则表示曲子终了;假设查表结果为FFH,则产生相应的停顿效果。C调各音符频率与计数值T的值如表3.1所示

20、:表3.1 C调音符频率与计数值T低音频率T参数中音频率T参数高音频率T参数Do2621908229Do523956115Do10465757Do2771805217Do554903108Do11095454Re2941701204Re587852102Re11755151Re3111608193Re62280497Re12454848Mi3301515182Mi65975991Mi13184545Fa3491433172Fa69871686Fa13974343Fa3701351162Fa74067681Fa14804141So3921276153So78463877So15683838So4

21、151205145So83160272So16613636La4401136136La88056868La17603434La4641078129La93253664La18653232Si4941012121Si98850661Si197630303.4工程仿真使用keil软件进展编译,生成he*文件。导入protus软件进展仿真。结果如以下列图3.2a按下开机键 b按下第三首图3.2 仿真结果按下一曲、上一曲、暂停等功能键,均能实现。总结此次设计的整个过程中,出现了各种小问题,例如因为代码错误*一首歌无法播放,我通过查找资料重新修改了音乐代码,解决了这个问题。虽然设计结果不是很完美,但是,

22、在这整个设计期间,使我学到了很多在这之前没有学到的知识、技能。使我把大学期间所学到的知识进展了一个总结和复习,也使我对所学的知识有了进一步的思考。也让我从另一个方面认识了我所学专业的重要性。脚踏实地,认真严谨,实事的学习态度,不怕困难、坚持不懈、吃苦耐劳的精神是我在这次设计中最大的收益。我想这是一次意志的磨练,是对我实际能力的一次提升,也会对我未来的学习和工作有很大的帮助。参考文献:1 王为青,邱文勋.?51单片机应用开发案例精选?人民邮政,2007.2 ?单片机根底?广第 朱月秀 王秀山编 航空航天大学,2001.3 居义.单片机课程设计指导.清华大学, 2021.44-61页.4 骏莲.

23、倪云峰.单片机原理与应用根底.清华大学.2021附录一仿真电路图如下:附录图1 仿真电路图附录二以下是以下是十首歌音乐播放器的单片机控制源程序:. z.-. z.-RS BIT P2.0 ;引脚定义,定义液晶显示端口标号RW BIT P2.1E BIT P2.2L50MS EQU 60H ;工作存定义L1MS EQU 61HL250MS EQU 62HSEC EQU 65HMIN EQU 64HHOU EQU 63H org 0000hljmp mainORG 000BH ;定时器T0溢出中断入口地址LJMP TT0ORG 001BH ;定时器T1溢出中断入口地址LJMP T1INTorg 1

24、000hmain: ;液晶初始化MOV SP,*70H MOV P0,*01H ;清屏CALL DISPLAYMOV P0,*38H ;8位,2行显示lCALL DISPLAYMOV P0FH ;屏显on,光标on,闪烁onlCALL DISPLAYMOV P0,*06H ;计数地址加1,显示幕onlCALL DISPLAYlcall initil ;存初始化WAIT:lcall key ; 键盘扫描是否有键按下lcall modd ljmp WAIT ;假设无则等待key:nopnoplcall ksjnz k1 ;有按键转到K1lcall kaijilcall SOP*n:ljmp key

25、k1:lcall moddlcall moddlcall ksjnz k2ljmp keyk2:mov R2,*0feh ;读键盘mov R4*00hk3:mov A,R2mov P1,Amov A,P1 ACC.4,L1 ;为1跳转,第一行无按键mov a,*00hljmp lkL1: acc.5,L2mov a,*04hljmp lkL2: acc.6,L3mov a,*08hljmp lkL3: acc.7,ne*t1mov a,*0chlk:add a,R4push acck4:lcall delay1 ;假设同时有其他按键,则等待lcall ksjnz k4mov r3,*07h c

26、lr amov r0,*30hmov r1,*31hmm1:mov a,r1mov r0,ainc r0inc r1djnz r3,mm1pop accmov r0,a RETne*t1:inc R4 ;-列扫描mov a,R2JNB acc.3,n2ljmp n1n2:ljmp keyn1:rl amov R2,aljmp k3ks:mov a,*0f0h ;判断P1口mov P1,anopnopmov a,P1cpl aanl a,*0f0hRETDELAY1:SETB RS0dl:mov R5,*0ahdl2:mov R6,*63dl3:nop nopdjnz R6,dl3djnz R5

27、,dl2 CLR RS0 RETinitil: ;30H-37H初值为0mov r3,*08hmov r0,*30hm1:mov r0,*00hinc r0djnz r3,m1 RETmodd: ;显示MOV P0,*8EHlCALL DISPLAYmov A,37Hmov DPTR,*TABLE3 MOVC A,A+DPTRlCALL WRITE2 RETkaiji: ;开机提示字mov a,37hcjne a,*0fh,DFFMOV P0,*80H ;设光标地址 lCALL DISPLAYMOV DPTR,*TABLE1;写数据lCALL WRITE1 DFF:RET;按键值播放歌曲SOP

28、:mov a,37hcjne a,*01h,A11LCALL MODDMOV 52H,*HIGH TABLE10MOV 53H,*LOW TABLE10LCALL INITILE2lcall MAIN0A11:CJNE A,*02H,A22LCALL MODDMOV 52H,*HIGH TABLE20MOV 53H,*LOW TABLE20 LCALL INITILE2 lcall MAIN0A22:CJNE A,*03H,A33LCALL MODDMOV 52H,*HIGH TABLE30MOV 53H,*LOW TABLE30 LCALL INITILE2 lcall MAIN0 A33:

29、CJNE A,*04H,A44LCALL MODDMOV 52H,*HIGH TABLE40MOV 53H,*LOW TABLE40 LCALL INITILE2 lcall MAIN0A44:CJNE A,*05H,A55LCALL MODDMOV 52H,*HIGH TABLE50MOV 53H,*LOW TABLE50 LCALL INITILE2 lcall MAIN0A55:CJNE A,*06H,A66LCALL MODDMOV 52H,*HIGH TABLE60MOV 53H,*LOW TABLE60 LCALL INITILE2 lcall MAIN0 A66:CJNE A,*

30、07H,A77LCALL MODDMOV 52H,*HIGH TABLE70MOV 53H,*LOW TABLE70 LCALL INITILE2 lcall MAIN0 A77:CJNE A,*08H,A88LCALL MODDMOV 52H,*HIGH TABLE80MOV 53H,*LOW TABLE80 LCALL INITILE2 lcall MAIN0A88:CJNE A,*09H,A99LCALL MODDMOV 52H,*HIGH TABLE90MOV 53H,*LOW TABLE90 LCALL INITILE2 lcall MAIN0 A99:CJNE A,*0AH,AAA

31、LCALL MODDMOV 52H,*HIGH TABLE100MOV 53H,*LOW TABLE100 LCALL INITILE2 lcall MAIN0 AAA:RET INITILE2:MOV L50MS,*20MOV L1MS,*00HMOV L250MS,*00HMOV SEC,*00HMOV MIN,*00HMOV HOU,*00HMOV A,HOUMOV B,*10DIV ABADD A,*30H ;将BCD吗转化为ASIIC码MOV P0,*0C8H ;显示小时十位CALL DISPLAYCALL WRITE2MOV A,BADD A,*30HMOV P0,*0C9H ;显

32、示小时个位CALL DISPLAYCALL WRITE2MOV A,*3AHMOV P0,*0DH ;显示冒号CALL DISPLAYCALL WRITE2MOV A,MINMOV B,*10DIV ABADD A,*30HMOV P0,*0CBH ;显示分十位CALL DISPLAYCALL WRITE2MOV A,BADD A,*30HMOV P0,*0CCH ;显示分个位CALL DISPLAYCALL WRITE2MOV A,*3AHMOV P0,*0CDH ;显示冒号CALL DISPLAYCALL WRITE2MOV A,SECMOV B,*10DIV ABADD A,*30H M

33、OV P0,*0CEH ;显示秒十位CALL DISPLAYCALL WRITE2MOV A,BADD A,*30HMOV P0,*0CFH ;显示秒个位CALL DISPLAYCALL WRITE2MOV P0,*0C0H ;设光标地址 CALL DISPLAYMOV DPTR,*TABLE2;写数据CALL WRITE1 RETDISPLAY: ;写指令CLR RSCLR RWCLR ELCALL DELAYSETB ERETWRITE1: ;写数据MOV R1,*00HA1:MOV A,R1MOVC A,A+DPTRCALL WRITE2INC R1CJNE A,*0FEH,A1 ;未到

34、字符串末尾继续RETWRITE2:MOV P0,ASETB RSCLR RWCLR ECALL DELAYSETB ERETDELAY:MOV R4,*05D1:MOV R5,*0FFHDJNZ R5,$DJNZ R4,D1RET TAB:db 0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8hdb 80h,90h,88h,83h,0c6h,0a1h,86h,8ehTAB1:db 89h,86h,0c7h,0c7h,0c0hTAB2:db 0c6h,88h,0c0hTABLE1:DB WELE HERE,0FEHTABLE2:DB DFF WB ,0FEHTABLE4:D

35、B CAU,0FEHTABLE3:DB 30H,31H,32H,33h DB 34H,35H,36H,37HDB 38h,39H,41H,42HDB 43H,44H,45H,46H ;播放歌曲程序MAIN0:MOV TMOD,*11HMOV IE,*8aH ;MOV TH1,*3cH;MOV TL1,*0b0H lcall ksjnz TTM12 MOV 40H,*00HNE*T20:MOV A,40HMOV DPH,52HMOV DPL,53HMOVC A,A+DPTRMOV R2,AJZ STOPANL A,*0FHMOV R1,AMOV A,R2SWAP AANL A,*0FHJNZ S

36、INGCLR TR0JMP W1SING:DEC AMOV 22H,ARL AMOV DPTR,*TABLE00MOVC A,A+DPTRMOV TH0,AMOV 21H,AMOV A,22HRL AINC AMOVC A,A+DPTRMOV TL0,AMOV 20H,ASETB TR0SETB TR1W1:LCALL DELAY30INC 40HLCALL KS ;有键跳出JNZ STOPLJMP NE*T20STOP:CLR TR0CLR TR1LJMP MAIN0 TTM12:LCALL K2MOV A,37HCJNE A,*0EH,TTM13CLR TR1LCALL DELAY1TTM

37、120:LCALL KSLCALL DELAY1JZ TTM120LCALL K2MOV A,37HCJNE A,*0EH,TTM120SETB TR1LJMP NE*T20TTM13:CJNE A,*0CH,TTM14MOV A,52HINC AMOV 52H,AMOV A,53HINC AMOV 53H,AMOV A,36HINC AMOV 37H,ALJMP TTM2TTM14:CJNE A,*0DH,TTM2MOV A,52HDEC AMOV 52H,AMOV A,53HDEC AMOV 53H,AMOV A,36HDEC AMOV 37H,A TTM2:RET;定时器中断子程序0TT

38、0:PUSH ACCPUSH PSWMOV TL0,20HMOV TH0,21HCPL P3.7POP PSWPOP ACCRETI*;定时器中断子程序1T1INT: MOV TH1, *3cHMOV TL1, *0b0HDJNZ L50MS,*4MOV L50MS,*20;每秒种时钟刷新秒显示一次SECSET:MOV A,SECMOV B,*10DIV ABADD A,*30H MOV P0,*0CEH ;显示秒十位lCALL DISPLAYlCALL WRITE2MOV A,BADD A,*30HMOV P0,*0CFH ;显示秒个位lCALL DISPLAYlCALL WRITE2MOV

39、 A,SECINC AMOV SEC,A*RL A,*60*4:JNZ OUTMINSET:MOV SEC,*00H ;每分钟刷新分显示一次MOV A,MININC AMOV MIN,A MOV B,*10DIV ABADD A,*30HMOV P0,*0CBH ;显示分十位lCALL DISPLAYlCALL WRITE2MOV A,BADD A,*30HMOV P0,*0CCH ;显示分个位lCALL DISPLAYlCALL WRITE2MOV A,MIN MOV B,*3MUL ABMOV B,*100DIV ABMOV R2,AMOV A,*10*CH A,BDIV ABMOV A,

40、MIN*RL A,*60JNZ OUTHOUSET:MOV MIN,*00H ;每小时刷新小时显示一次 MOV A,HOUMOV B,*10DIV ABADD A,*30H ;将BCD吗转化为ASIIC码MOV P0,*0C8H ;显示小时十位CALL DISPLAYCALL WRITE2MOV A,BADD A,*30HMOV P0,*0C9H ;显示小时个位CALL DISPLAYCALL WRITE2MOV A,HOUINC AMOV HOU,A*RL A,*10JNZ OUTSJMP OVERFLOWOUT:RETIOVERFLOW:;溢出处理MOV L50MS,*20MOV L1MS

41、,*00HMOV L250MS,*00HMOV SEC,*00HMOV MIN,*00HMOV HOU,*00HRETIDELAY30: MOV R7,*2W2:MOV R4,*125W3:MOV R3,*248DJNZ R3,$DJNZ R4,W3DJNZ R7,W2DJNZ R1,DELAY30RETTABLE00:DW 64580,64684,64777,64820DW 64898,64968,65030,64260DW 64400,64524,65058,63835,64021;第一首?精忠报国?TABLE10: DB 66H,52H,36H,22H,12H,12H,22H,32H,9

42、8H,84H,82H,92H,14H,12H,22HDB 32H,52H,22H,42H,38H,66H,52H,36H,22H,12H,22H,22H,32H,98HDB 24H,22H,32H,54H,52H,32H,92H,12H,92H,82H,98H,66H,52H,36H,22HDB 12H,12H,22H,32H,98H,84H,82H,92H,14H,12H,22H,32H,52H,22H,42H,38HDB 66H,52H,36H,22H,12H,22H,22H,32H,98H,24H,22H,32H,54H,52H,32HDB 22H,12H,92H,82H,98H,14H

43、,24H,34H,44H,64H,0B4H,64H,32H,52HDB 62H,0B2H,62H,52H,38H,24H,22H,32H,54H,52H,62H,52H,32H,32H,22H,38HDB 64H,0B4H,64H,32H,52H,62H,0B2H,62H,52H,38H,22H,22H,22H,32H,52H,52HDB 52H,62H,7FH,74H,04H,58H,6FHDB 00;第二首?月亮代表我的心?TABLE20: DB 02H,82HDB 16H,32H,54H,02H,52HDB 0A6H,32H,54H,02H,52HDB 64H,74H,0B6H,64HD

44、B 52H,5CH,32H,22HDB 16H,12H,14H,32H,22HDB 16H,12H,14H,22H,32HDB 26H,12H,94H,22H,32HDB 2CHDB 32H,52HDB 36H,22H,14H,54HDB 0ACH,92H,0A2HDB 96H,0A2H,96H,82HDB 3CH,54HDB 36H,22H,14H,54HDB 0ACH,92H,0A2HDB 16H,12H,14H,22H,32HDB 2CH,02H,82HDB 16H,32H,56H,12HDB 0A6H,32H,56H,52HDB 66H,72H,0B6H,62HDB 62H,52H,5

45、8H,32H,22HDB 16H,12H,14H,32H,22HDB 16H,12H,14H,22H,32HDB 26H,92H,0A4H,12H,22HDB 1CHDB 00;第三首?看我七十二变?TABLE30:DB 0d4H,84H,94H,82H,92HDB 12H,22H,82H,92H,02H,92H,82H,0d2HDB 82H,92H,14H,84H,02H,0d1H,0d1HDB 0c2H,0d2H,84H,0d2H,0d2H,82H,82HDB 84H,82H,82H,94H,82H,0d2HDB 82H,82H,82H,92H,02H,82H,82H,0d2HDB 0c4

46、H,84H,0d4H,92H,0d2HDB 82H,0d2H,82H,92H,98HDB 04H,94H,88HDB 04H,94H,88HDB 04H,94H,88HDB 04H,94H,88H,04HDB 32H,32H,22H,12H,02H,92H,12H,92HDB 32H,32H,22H,12H,02H,92H,12H,92HDB 32H,32H,22H,12H,02H,92H,12H,22HDB 54H,44H,34H,12H,22HDB 34H,22H,12H,02H,92H,12H,92HDB 32H,32H,22H,12H,02H,92H,12H,92HDB 32H,32H

47、,22H,12H,02H,92H,12H,22HDB 54H,44H,32H,22H,12H,92HDB 00 ;第四首?同一首歌?TABLE40:DB 88h,14h,24h,36H,42H,34H,14H,28H,14H,94HDB 1fH,88H,14H,24H,34H,32H,42H,54H,14HDB 46H,32H,54H,22H,32H,32H,22H,2CH,38H,54H,74HDB 76H,62H,68H,54H,52H,62H,74H,62H,52H,3fHDB 46H,42H,54H,64H,54H,42H,32H,28H,0a4H,0a2HDB 92H,84H,94H

48、,1fH,0b8H,68H,46H,52H,68HDB 74H,72H,72H,74H,62H,52H,3fH,0b8H,68HDB 46H,52H,68H,64H,62H,62H,64H,42H,32H,2fHDB 58H,14H,24H,36H,42H,34H,12H,12H,26H,22H,24H,22H,12HDB 94H,9cH,0a8H,0a6H,92H,84H,64H,54H,22H,22H,46H,42H,44H,32H,22H,5fHDB 00;第五首?大海?TABLE50:DB 82H,92H,12H,14H,12H,14H,92H,82H,12H,14H,22H,14H,

49、92H,12H,22H,24HDB 22H,24H,12H,92H,22H,24H,32H,24H,32H,52H,62H,54H,52H,64H,52H,32HDB 22H,32H,22H,12H,94H,82H,92H,12H,14H,12H,14H,94H,1cHDB 82H,92H,12H,14H,12H,14H,92H,82H,12H,14H,22H,14H,92H,12H,22H,24HDB 22H,24H,12H,92H,22H,24H,32H,24H,32H,52H,62H,54H,52H,64H,52H,32HDB 22H,32H,22H,12H,94H,82H,92H,12

50、H,14H,12H,14H,22H,12H,1cH,32H,52HDB 62H,64H,62H,64H,0b2H,62H,52H,54H,62H,54H,32H,22HDB 12H,14H,12H,14H,24H,3cH,32H,22H,12H,14H,12H,14H,0b2H,62H,52H,54H,62H,54H,32H,52HDB 66H,0b2H,0b4H,62H,52H,5cH,32H,52H,62H,64H,62H,64H,0b2H,62H,52H,54HDB 62H,54H,32H,22H,12H,14H,12H,14H,54H,3cH,32H,22H,12H,14H,12H,1

51、4H,22H,32HDB 52H,54H,32H,54H,32H,52H,6fH,04H,02H,92H,32H,24H,12H,1fHDB 00;第六首?两只蝴蝶?TABLE60:DB 32H,22H,38H,02H,22H,32H,22H,18H,04H,92H,12H,24H,22H,32H,22H,12H,92H,92H,88H,04H,32H,22HDB 38H,02H,22H,32H,22H,18H,04H,92H,12H,24H,22H,32H,22H,21H,92H,12H,28H,04H,32H,22HDB 38H,02H,22H,32H,22H,18H,04H,92H,12

52、H,24H,22H,32H,22H,12H,92H,91H,11H,88HDB 04H,32H,52H,58H,02H,52H,62H,52H,38H,04H,22H,22H,24H,22H,32H,22H,12H,92H,92HDB 12H,12H,1cH,08H,02H,52H,52H,62H,0b2H,72H,72H,62H,32H,22H,22H,22HDB 38H,02H,32H,32H,52H,64H,64H,02H,92H,32H,22H,28H,04H,32H,52H,52H,32H,54H,02H,0b4H,72HDB 62H,72H,34H,02H,62H,62H,72H,

53、62H,52H,32H,22H,02H,32H,22H,32HDB 58H,02H,52H,52H,62H,0b2H,72H,72H,62H,32H,22H,22H,22HDB 38H,02H,32H,32H,52H,64H,64H,02H,92H,32H,22H,28H,04H,32H,52H,52H,32H,54H,02H,0b4H,72HDB 62H,72H,34H,02H,62H,62H,72H,62H,52H,32H,22H,02H,84H,92H,1cHDB 00;第七首TABLE70:DB 66H,52H,34H,54H,0B4H,62H,52H,68H,34H,52H,62H,

54、54H,34H,12H,92H,52H,32H,28HDB 26H,32H,54H,52H,62H,34H,24H,18H,56H,32H,22H,12H,92H,12H,8FHDB 66H,52H,34H,54H,0B4H,62H,52H,68H,34H,52H,62H,54H,34H,12H,92H,52H,32H,28HDB 26H,32H,54H,52H,62H,34H,24H,18H,56H,32H,22H,12H,92H,12H,8FHDB 66H,52H,34H,54H,0B4H,62H,52H,68H,34H,52H,62H,54H,34H,12H,92H,52H,32H,28

55、HDB 26H,32H,54H,52H,62H,34H,24H,18H,56H,32H,22H,12H,92H,12H,8FHDB 00;第八首歌?彩云追月?TABLE80:DB 86H,92H,12H,22H,32H,52H,68H,63H,52H,34H,62H,0B2H,0B2H,62H,51H,61H,51H,31H,54HDB 62H,0B2H,0B2H,62H,52H,32H,52H,52H,51H,61H,51H,31H,34H,52H,62H,74H,32H,52H,52H,32H,21H,31H,21H,11H,24HDB 32H,52H,52H,32H,22H,12H,24

56、H,32H,52H,52H,32H,62H,52H,61H,51H,31H,21H,21H,31H,21H,11H,1CHDB 21H,31H,22H,23H,31H,21H,18H,02H,0B2H,0BCH,0B4H,72H,61H,51H,72H,61H,71H,61H,51H,61H,71H,61H,6FHDB 86H,94H,12H,22H,32H,52H,64H,62H,51H,31H,52H,21H,31H,21H,33H,62H,0B2H,0B2H,62H,51H,61H,51H,31H,54HDB 62H,0B2H,72H,61H,51H,51H,61H,51H,31H,54

57、H,61H,0B2H,72H,61H,51H,51H,61H,51H,31H,32H,21H,11H,32H,51H,61H,54HDB 02H,32H,24H,32H,52H,52H,32H,22H,31H,21H,11H,24H,32H,52H,62H,0B2H,72H,61H,51H,61H,51H,31H,32H,52H,52H,32H,62HDB 51H,31H,31H,21H,11H,91H,23H,31H,21H,1CH,12H,24H,32H,62H,51H,31H,34H,0B4H,72H,61H,51H,63H,71H,64HDB 0B2H,54H,72H,62H,71H,61H,54H,61H,51H,31H,21H,34H,73H,61H,54H,22H,32H,52H,22H,36H,52H,62H,61H,31H,32H,21H,11H,21H,11H,91H,81HDB 94H,52H,62H,52H,42H,32H,22H,32H,52H,0B4H,74H,64H,52H,61H,51H,41H,5C

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!