基于51单片机的电子时钟的设计与实现2

上传人:沈*** 文档编号:84826495 上传时间:2022-05-04 格式:DOC 页数:40 大小:1.84MB
收藏 版权申诉 举报 下载
基于51单片机的电子时钟的设计与实现2_第1页
第1页 / 共40页
基于51单片机的电子时钟的设计与实现2_第2页
第2页 / 共40页
基于51单片机的电子时钟的设计与实现2_第3页
第3页 / 共40页
资源描述:

《基于51单片机的电子时钟的设计与实现2》由会员分享,可在线阅读,更多相关《基于51单片机的电子时钟的设计与实现2(40页珍藏版)》请在装配图网上搜索。

1、大学电子信息学院电子系统综合设计课程论文基于51单片机的电子时钟的设计与实现专 业:年 级:作 者:指导20XX 6月 26日37 / 40目录1 课题背景与立项缘由12 实验方案设计22.1设计要求22.2数字钟的构成22.3系统总体框图33 软件系统方案设计与选择43.1主程序流程图43.2定时器子程序流程图63.3方案选择74 硬件系统方案设计与选择74.1单片机的结构74.2开发板的结构和使用方法.84.3方案选择.105 系统测试115.1测试环境115.2测试过程115.3测试结果.126 实验心得12参考文献13附录1 系统电路图13附录2 系统软件代码14附录3 系统器件清单3

2、81 课题背景及立项缘由所谓单片机,就是把中央处理器CPU、存储器、定时器、I/0接口电路等一些计算机的主要功能部件集成在一块集成电路芯片上的微型计算机。虽然单片机只是一个芯片,但从组成和功能上看,它已具有了微型计算机系统的含义。中文单片机的称呼由英文名称Single Chip Microcomputer直接翻译而来。单片机把微型计算机的各主要部分集成在一块芯片上,大大缩短了系统信号传送距离,从而提高了系统的可靠性及运行速度。因而在工业测控领域中,单片机系统是最理想的控制系统。所以,单片机是典型的嵌人式系统,是嵌入式系统低端应用的最佳选择。单片机的发展经历了以下4个阶段:1、芯片化探索阶段20

3、世纪70午代,美国的Fairchild公司首先推出了第一款单片机F-8,随后Intel公司推出了影响面大、应用更广的MCS48单片机系列。MCS48单片机系列的推出标志着在工业控制领域,进入到智能化嵌入式应用的芯片形态计算机的探索阶段。参与这一探索阶段的还有Motorola、Zilog和TI等大公司,它们都取得了满意的探索效果,确立了在SCMC的嵌入式应用中的地位。2、结构体系的完善阶段在MCS-48探索成功的基础上很快推出了完善的、典型的单片机系列MCS-5l。MCS-51系列单片机的推出,标着Single Chip Microcomputer体系结构的完善。3、从SCMC向MCU化过渡阶段

4、Intel公司推出的MCS96单片机,将一些用于测控系统的模数转换器、程序运行监视器、脉宽调制器、高速I/O口纳入片中,体现了单片机的微控制器特征。MCS-51单片机系列向各大电气商的广泛扩散,许多电气商竞相使用80C51为核,将许多测控系统中使用的电路技术、接口技术、可靠性技术应用到单片机中;随着单片机外围功能电路的增强,强化了智能控制器特征。微控制器成为单片机较为准确表达的名词。4、MCU的百花齐放阶段单片机发展到这一阶段,表明单片机已成为工业控制领域中普遍采用的智能化控制工具-小到玩具、家电行业,大到车载、舰船电子系统,遍及计量测试、工业过程控制、机械电子、金融电子、商用电子、办公自动化

5、、工业机器人、军事和航空航天等领域。为满足不同的要求,出现了高速、大寻址围、强运算能力和多机通信能力的8位、16位、32位通用型单片机,小型廉价型、外围系统集成的专用型单片机,以及形形色色各具特色的现代单片机。可以说,单片机的发展进人了百花齐放的时代,为用户的选择提供了空间。在日常生活和工作中,我们常常使用到定时控制。早起常用的一些时间控制单元都是使用模拟电路设计和制作的,其定时准确性和重复精度都不是很理想,现在基本上都是基于数字技术的新一代产品,随着单片机性能价格比的不断提高,新一代产品的应用也越来越广泛,大可构成复杂的工业过程的控制系统,完成复杂的控制功能。小则用于家电控制,大则用于工业和

6、科学研究中的精确时间定位,配以适当的接口芯片,可以构造各式各样的微电子产品。我们专业在本学期的数字逻辑电路实验中已经能使用脉冲信号发生器、相关芯片、数码管和导线在实验箱上实现基于基本门电路的数字时钟;在电子系统综合设计2这门课上,我们学习了单片机的相关知识,了解了其低功耗、可靠性和稳定性强、可以在部的EPROM上写入和擦除程序的相对先进的特点与功能。因此,我们希望在有单片机芯片的开发板上通过编程实现数字钟,并期望它能有更多的功能和更好的稳定性。2 实验方案设计2.1 设计要求u 实现时、分、秒的计时功能u 实现年、月、日的日历功能u 体现平闰年的区别,各时间单位之间进制准确u 实现闹钟报警功能

7、2.2 数字钟的构成数字钟实际上是一个对标准频率进行计数的计数电路.由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1MHZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟。晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的12MHz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器电路构成,秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器。译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为

8、数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。数码管 数码管通常有发光二极管数码管和液晶数码管,本设计提供的为LED数码管。2.3 系统总体框图开始系统初始化显示计时判断按键是否有按下年、月、日调整时分秒调整定时调整星期3 软件系统方案设计与选择3.1 主程序流程图3.1主程序流程图续3.2 定时器子程序流程图3.3方案选择与相关技术方案一:基本门电路搭肩,用基本门电路来实现数字钟,电路结构复杂,鼓掌系数大,不易调试。方案二:单片机编程,用单片机设计电路,由于使用软硬件结合的方式,所以电路结构简单,调试也相对方便。与第一种方案比较优点的是非常明显的。我们选择了第二种方案。4

9、 硬件系统方案设计与选择4.1 单片机的结构4.1.1 结构框图4.2 开发板结构与使用方法4.2.1开发板的结构4.2.2 通过SST51实现开发板只读模式和程序烧写的切换打开SSTEasyIAP11F.exe程序,选择串口选择芯片型号和部程序存储器选择PC串口,MCU晶振和波特率先选择确定在复位MCU通讯成功后窗口右上角显示芯片信息和版本信息点击Download SoftICE选项,将MCU中的BOOT LOADER监控程序替换为Soft ICE监控程序选择确认更换打开Keil C51程序,新建一个工程保存在自己新建的文件夹中点击保存出现CPU选择对话框,选择SST系列的89X516RD2

10、出现是否添加标准51初始代码对话框,选否工程建立之后新建源程序文件,点击File菜单下New选项保存在工程文件夹,C程序文件后缀为.C,汇编程序文件后缀为.ASM在文本编辑区编写源程序并保存;在Source Group上右击选择Add Files To Group选项添加源文件至工程选择编写好的源程序文件,点击Add添加所选文件点击编译按钮,编译工程进入目标板调试选项设置,点击Project菜单下Options for Target选项选择为硬件仿真功能点击Setting进入通讯参数设定菜单,去掉所有Cache选项。至此设置完毕,进入仿真调试阶段点击DEBUG下的Start/Stop Debu

11、g Session选项进入DEBUG界面进入后可以看到信息栏中由显示连接成功信息。在此仿真界面可以进行单步、全速、断点等调试方式由Soft ICE监控程序转换回SST Boot-Strap Loader监控程序打开编辑器SUPERPRO程序进入SUPERPRO程序界面,单击选择器件选项进入器件选择对话框,在器件类型处选择MCU/MPU在查找处输入要器件名称,找到之后选择确定单击装入文件选项进入下载文件选择对话框,选择要下载的Soft ICE监控程序,文件格式一般为.hex或.bin格式因为SST单片机的监控程序存储在Block1中,Block1的地址为10000h,故数据缓冲区起始地址改为10

12、000,下载一般执行程序则直接默认具体步骤为:Erase=Program=Verify;打开SST BOOT-STRAP LOADER软件工具,并按之前的步骤与单片机通讯连接成功。在界面的右下方IAP Function菜单中有下载选项,选择Download选项下载程序进入了下载文件选择界面在文件查找对话框中找到要下载的用户程序,一般为.Hex或.bin格式文件选择好用户程序后,单击步骤二中的OK选项开始下载,界面最下面的状态栏显示下载进度,当显示为Done时表示程序下载已经完成,单片机上电执行用户程序了4.3 方案选择与相关技术4.3.1显示模块显示模块是本次单片机课程设计最核心的部分。方案一

13、:采用LCD1602。LCD1602为工业字符型液晶,能够同时显示16x02即32个字符。其采用标准的16脚接口,该液晶模块部的字符发生存储器CGROM已经存储了160个不同的点阵字符图形,所以可分容易的实现数字钟数码显示。方案二:采用LED共阴极数码管。共阴数码管在应用时将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。基于以上分析,我们考虑到现实经济因素,所以选择了方案二。共阴极数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数字,因此根据数码管的驱动方式的不同,可以分为静态式和动态式

14、两类:方案一:静态显示驱动。就是每一个数码管显示器都要占用单独的具有锁存功能的I/O接口用于笔划段字形代码。这样单片机只要把要显示的字形代码发送到接口电路,直到要显示新的数据时,再发送新的字形码,因此,使用这种办法单片机中CPU的占用较小。但对于静态显示方式,所需的数据锁存装置很多,引线多而复杂,且可靠性也较低。方案二:动态显示驱动。通过单片机对数码管位选通COM端电路的控制,只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的COM端,就使各个数码管轮流受控显示,这就是动态驱动。动态显示可以大幅度地降低硬件成本和电源的功耗,因为某一时

15、刻只有一个数码管工作,也就是所谓的分时显示,故显示所需要的硬件电路可分时复用。动态显示方式,可以避免静态显示的问题。但设计上如果处理不当,易造成亮度低,闪烁问题。因此合理的设计既应保证驱动电路易实现,又要保证显示后的数据稳定,无闪烁。动态显示采用多路复用技术的动态扫描显示方式, 复用的程度不是无限增加的, 因为利用动态扫描显示使我们看到一幅稳定画面的实质是利用了人眼的暂留效应和发光二极管发光时间的长短, 发光的亮度等因素. 我们通过实验发现, 当扫描刷新频率 为50Hz, 发光二极管导通时间1m s 时, 显示亮度较好, 无闪烁感.。 鉴于上述的方案分析, 我们采用方案二 4.3.2闹铃模块方

16、案一:采用语音芯片ISD1110闹铃。ISD1110 具有多种采样率对应,多种录放时间,可以利用振荡电阻自已决定采样率。操作简单,灵活。音质好,适应电压围广。可先对录放音设备录入一段音乐或其他报时方式,当到设定时间时,单片机控制录放音设备放音。方案二:采用蜂鸣器闹铃,当到设定时间时,单片机向蜂鸣器送出低电平,蜂鸣器响铃。采用蜂鸣器闹铃结构简单,只需要单路信号控制,发出的闹铃声音可以根据响和不响的不同的软件延时时间来控制,当然也能发出音乐声音。基于两种方案分析,虽然语音芯片ISD1110具备更多优点,但鉴于经济因素,我们本次设计还是决定采用方案二。 4.3.3 LED灯显示模块采用LED灯可以方

17、便的用不同的流水方式或单个LED灯来判断系统的工作状态,实现不同的显示功能,是一种经济又实用的方式。5 系统测试5.1 测试环境硬件平台:计算机一台、通讯电缆一根、数据线一根、单片机系统学习平台V1.1软件平台:WINDOWS XP操作系统、SoftICE监控程序、Keil软件5.2 测试过程硬件测试: 用SoftICE查看和改变单片机工作状态软件测试:将数字钟程序烧入单片机,并测试其功能是否完善5.3 测试结果时钟精度分析:为进一步使本次的系统做得更完美,我使用了标准的秒表对自己设计的时间进行了精度测量。本次设计系统使用的晶振为12MHZ.数码管显示00:5:00时,用秒表测得相应的数据如表

18、3所示:序号12345T5.00.57815.00.47875.00.50405.00.30964.59.2587序号678910T5.01.43355.00.20034.59.45565.01.20265.00.2597序号1112131415T4.58.97505.00.11815.00.96045.02.50604.59.8985序号1617181920T10.00.108110.01.054510.00.956010.00.78545.00.4355通过计算可得数码管显示:00:5:00时秒表测得的数值平均值为:00:5:2343 6 实验心得本次数字钟实验耗时很久,最后做出的来的功能

19、也是经过反复多次调试才全部达标,是一次很有意义的学习和动手经历。从完全不懂单片机到在单片机上编出数字钟的程序,我们的感受主要有一下几点:u 看再多的课本可能效果也不如实际编程的学习效率高。事实上我们编跑马灯的程序的时候缺什么就看什么,非常有针对性,在短时间掌握了汇编语言编程的基础。从本课程的角度来说,实践对理论的反馈作用体现的更加明显。u 调试程序比编程本身枯燥的多,但是在某种意义上更加重要。编程是靠灵感的,脑袋里有了算法可以很快写出来,但是实际结果能不能达到还要看调试,要考虑算法逻辑、语法、存地址等诸多方面。这一点在对硬件编程上体现的尤为明显。u 本实验最后完成了数字钟的诸多功能,并没有向其

20、他领域拓展的功能,是比较遗憾的地方。希望能在电子系统综合设计2中得到弥补!参考文献1 楼然苗.单片机课程设计指导.航空航天大学,2007.7.2家健.单片机原理及应用技术.高等教育,2004.11.3市精敏数字机器 单片机入门背景知识,2012.5.4 毅坤 善久 裘雪红. 单片微型计算机原理及应用. 电子科技大学出版,1998.91版.5 学海.标准80C51单片机基础教程:原理篇.航空航天大学,2006.6 王建校.51系列单片机及C51程序设计.科学,2002.7 龙三.C语言控制与应用.清华大学,1999.8.8 建领.51系列单片机开发宝典.电子工业,2007.附录一 系统电路图附录

21、二 软件完整代码ORG 0000HLJMP MAINORG 0003H ;时钟中断入口LJMP JIA1ORG 000BH ;日期中断入口LJMP CLOCKORG 0013HLJMP JIAN1ORG 001BHLJMP NAOZHOORG 0030HDATELED1 EQU 55H ;日期月地址DATELED2 EQU 56H ;日期日地址DATELED3 EQU 57H ;日期年高位地址DATELED4 EQU 67H ;日期年低位地址SHUNAO EQU 58HNAOH EQU 59HNAOM EQU 40HDB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,

22、6FHORG 0100HMAIN: MOV R3,#0MOV R0,#12MOV R1,#0MOV NAOH,#12MOV NAOM,#0MOV SHUNAO,#40MOV P1,#0FFHMOV R5,#0FFHMOV 66H,#0FFHMOV SP,#30HMOV R2,#0MOV R7,#7FHMOV DATELED1,#01MOV DATELED2,#01HMOV DATELED3,#00HMOV DATELED4,#20MOV TMOD,#21HMOV TH0,#3CHMOV TL0,#0B0HMOV TH1,#00HMOV TH0,#00HSETB TR0CLR TR1MOV IE

23、,#087HSETB IT1 ;外部中断模式SETB IT0CLR PSCLR PT1CLR PT0SETB PX1SETB PX0LED: MOV A,R0MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,R0CJNE A,NAOH,NONAOMOV A,R1CJNE A,NAOM,NONAO TR1,NONAOLJMP NAOKAINONAO: INC NAOMMOV A,NAOMMOV 38H,R1CJNE A,38H,NONAO1CLR TR1NONAO1: DEC NAOMMOV A,R1MOV R7,#0EFHLCALL LED1LCALL TIMEMOV

24、A,R2MOV R7,#0FDHLCALL TIMELCALL LED1LCALL TIMEJNB P3.4,SETMJNB P3.5,DATEHLJMP LEDNAOKAI: SETB ET1SETB TR1LJMP NONAODATEH: LJMP DATESETM: CLR ET1LCALL TIME3 P3.4,LEDSETM3: JNB P3.4,SETM3LCALL TIME3JNB P3.4,SETM3MOV P1,#11111110BSETM1: MOV A,R1MOV R7,#0EFHLCALL LED1LCALL TIMEMOV A,R0MOV R7,#7FHLCALL L

25、ED1LCALL TIME P3.4,SETM1C1LCALL TIME3JNB P3.4,SETHSETM1C1: DJNZ R5,SETM1MOV R5,#0FFHSETM2: MOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0EFHMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0F7HMOVX DPTR,ALCALL TIMEMOV A,R0MOV R7,#7FHLCALL LED1LCALL TIME

26、 P3.4,SETM1C2LCALL TIME3JNB P3.4,SETHSETM1C2: DJNZ R5,SETM2MOV R5,#0FFHLJMP SETM1SETH: JNB P3.4,SETHLCALL TIME3JNB P3.4,SETHMOV P1,#11111101BSETH1: MOV A,R0MOV R7,#07FHLCALL LED1LCALL TIMEMOV A,R1MOV R7,#0EFHLCALL LED1LCALL TIME P3.4,SETH2LCALL TIME3JNB P3.4,SETDSETH2: DJNZ R5,SETH1MOV R5,#0FFHSETH3

27、: MOV A,R1MOV R7,#0EFHLCALL LED1LCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#07FHMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0BFHMOVX DPTR,ALCALL TIME P3.4,SETH4LCALL TIME3JNB P3.4,SETDSETH4: DJNZ R5,SETH3MOV R5,#0FFHLJMP SETH1SETD: JNB P

28、3.4,SETDLCALL TIME3JNB P3.4,SETDMOV P1,#11111011BSETD1: MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAIN2LCALL TIME3JNB P3.4,SETDMAGAIN2: DJNZ R5,SETD1MOV

29、 R5,#0FFHSETD3: MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0FDHMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0F

30、EHMOVX DPTR,ALCALL TIME P3.4,AGAIN3LCALL TIME3JNB P3.4,SETDMAGAIN3: DJNZ R5,SETD3MOV R5,#0FFHLJMP SETD1SETDM:JNB P3.4,SETDMLCALL TIME3JNB P3.4,SETDMMOV P1,#11110111BSETDM1:MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1L

31、CALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAIN1LCALL TIME3JNB P3.4,SETDYDAGAIN1: DJNZ R5,SETDM1MOV R5,#0FFHSETDM3: MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#11110111BMOVX

32、DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#11111011BMOVX DPTR,ALCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAINLCALL TIME3JNB P3.4,SETDYDAGAIN: DJNZ R5,SETDM3MOV R5,#0FFHLJMP SETDM1SETDYD: JNB P3.4,SETDYDLCALL TIME3JNB P3.4,SETDYDMOV P1,#11101111BS

33、ETDYD1: MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAIND4LCALL TIME3JNB P3.4,SETDYGAGAIND4: DJNZ R5,SETDYD1MOV R5,#0FFHSETDYD3: MOV A,DATELED4MOV R7,#07F

34、HLCALL LED1LCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#11011111BMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#11101111BMOVX DPTR,ALCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,A

35、GAIND9LCALL TIME3JNB P3.4,SETDYGAGAIND9: DJNZ R5,SETDYD3MOV R5,#0FFHLJMP SETDYD1SETDYG: JNB P3.4,SETDYGLCALL TIME3JNB P3.4,SETDYGMOV P1,#11011111BSETDYG1: MOV A,DATELED4MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DA

36、TELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAING4LCALL TIME3JNB P3.4,NAOSETMAGAING4: DJNZ R5,SETDYG1MOV R5,#0FFHSETDYG3:MOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#01111111BMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#10111111BMOVX DPTR,ALCALL TI

37、MEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATELED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIME P3.4,AGAING9LCALL TIME3JNB P3.4,NAOSETMAGAING9: DJNZ R5,SETDYG3MOV R5,#0FFHLJMP SETDYG1NAOSETM:JNB P3.4,NAOSETMLCALL TIME3JNB P3.4,NAOSETMMOV P1,#10111111BNAOS

38、ETM1: MOV A,NAOMMOV R7,#0EFHLCALL LED1LCALL TIMEMOV A,NAOHMOV R7,#7FHLCALL LED1LCALL TIME P3.4,SETM1CNLCALL TIME3JNB P3.4,NAOSETHSETM1CN: DJNZ R5,NAOSETM1MOV R5,#0FFHNAOSETM2: MOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#0EFHMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMO

39、V DPTR,#0FBFFHMOV A,#0F7HMOVX DPTR,ALCALL TIMEMOV A,NAOHMOV R7,#7FHLCALL LED1LCALL TIME P3.4,SETM1CN2LCALL TIME3JNB P3.4,NAOSETHSETM1CN2: DJNZ R5,NAOSETM2MOV R5,#0FFHLJMP NAOSETM1NAOSETH: JNB P3.4,NAOSETHLCALL TIME3JNB P3.4,NAOSETHMOV P1,#01111111BNAOSETH1: MOV A,NAOHMOV R7,#07FHLCALL LED1LCALL TIME

40、MOV A,NAOMMOV R7,#0EFHLCALL LED1LCALL TIME P3.4,NAOSETH2LCALL TIME3JNB P3.4,NOSETNAOSETH2: DJNZ R5,NAOSETH1MOV R5,#0FFHNAOSETH3: MOV A,NAOMMOV R7,#0EFHLCALL LED1LCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,#07FHMOVX DPTR,ALCALL TIMEMOV A,#00HMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPT

41、R,#0FBFFHMOV A,#0BFHMOVX DPTR,ALCALL TIME P3.4,NAOSETH4LCALL TIME3JNB P3.4,NOSETNAOSETH4: DJNZ R5,NAOSETH3MOV R5,#0FFHLJMP NAOSETH1NOSET: MOV P1,#0FFHJNB P3.4,$LCALL TIME3JNB P3.4,NOSETLJMP LEDCLOCK: INC R3MOV TH0,#3CH ;定时器复位MOV TL0,#0B0HCJNE R3,#20,CHUAN ;以下为小时,分钟,秒进一INC R2MOV R3,#00HCJNE R2,#60,CH

42、UANINC R1MOV R2,#00HCJNE R1,#60,CHUANINC R0MOV R1,#00HCJNE R0,#24,CHUANINC DATELED2MOV R0,#00HMOV R4,DATELED1 ;以下是月份和平闰年选择及进一MOV R6,DATELED2CJNE R4,#01,CLOCK1CJNE R6,#32,CHUANINC DATELED2MOV DATELED1,#01HLJMP ENDDCLOCK1: CJNE R4,#02,CLOCK3MOV B,#4 ;平闰年选择MOV A,DATELED3DIV ABMOV A,BJNZ CLOCK2CHUANX2:

43、CJNE R6,#30,CHUANX1 ;闰年二月INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK2: CJNE R6,#29,CHUANX2ENDDX: INC DATELED1 ;平年二月MOV DATELED2,#01HLJMP ENDDCHUAN: LJMP ENDDCHUANX1: CJNE R6,#31,CHUANX11LJMP ENDDXCHUANX11: CJNE R6,#32,CHUANLJMP ENDDXCLOCK3: CJNE R4,#3,CLOCK4CJNE R6,#32,CHUAN ;INC DATELED1MOV DATELED

44、2,#01HLJMP ENDDCLOCK4: CJNE R4,#4,CLOCK5CJNE R6,#31,ENDD ;四月小INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK5: CJNE R4,#5,CLOCK6CJNE R6,#32,ENDD ;五月大INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK6: CJNE R4,#6,CLOCK7CJNE R6,#31,ENDD ;六月小INC DATELED2MOV DATELED1,#01HLJMP ENDDCLOCK7: CJNE R4,#7,CLOCK8CJNE R6,

45、#32,ENDD ;七月大INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK8: CJNE R4,#8,CLOCK9CJNE R6,#32,ENDD ;八月大INC DATELED2MOV DATELED1,#01HLJMP ENDDCLOCK9: CJNE R4,#9,CLOCK10CJNE R6,#31,ENDD ;九月小INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK10: CJNE R4,#10,CLOCK11CJNE R6,#32,ENDD ;十月大INC DATELED1MOV DATELED2,#01HLJ

46、MP ENDDCLOCK11: CJNE R4,#11,CLOCK12CJNE R6,#31,ENDD ;十一月小INC DATELED1MOV DATELED2,#01HLJMP ENDDCLOCK12: CJNE R6,#32,ENDDMOV DATELED1,#01HINC DATELED3 ;年进一MOV DATELED2,#01H ;至此月份和年选择结ENDD: RETILED1: ;时间及日期显示函?MOV B,#10DIV ABMOV DPTR,#0030HMOVC A,A+DPTRMOV DPTR,#0FAFFHMOVX DPTR,AMOV DPTR,#0FBFFHMOV A,

47、R7MOVX DPTR,ARR AMOV R7,ALCALL TIMEMOV A,BMOV DPTR,#0030HMOVC A,A+DPTRLED4: MOV DPTR,#0FAFFHMOVX DPTR,AMOV A,R7MOV DPTR,#0FBFFHMOVX DPTR,ARETDATE: CLR ET1SETB P1.0LCALL TIME3 P3.5,ENDD1DATE2: MOV A,DATELED4 ;日期显示MOV R7,#7FHLCALL LED1LCALL TIMEMOV A,DATELED3MOV R7,#0DFHLCALL LED1LCALL TIMEMOV A,DATEL

48、ED1MOV R7,#0F7HLCALL LED1LCALL TIMEMOV A,DATELED2MOV R7,#0FDHLCALL LED1LCALL TIMEJNB P3.5,DATE1LCALL TIME3JNB P3.5,DATE1LJMP ENDD1DATE1: LJMP DATE2ENDD1: LJMP LEDJIA2X: LJMP JIA2JIA1: JNB P3.2,$LCALL TIMEXLCALL TIMEX/LCALL TIMEXJNB P3.2,JIA1MOV 70H,APUSH 70HMOV 71H,R4PUSH 71HMOV A,P1CPL AJZ JIA2 P1.

49、0,JIA3INC R1CJNE R1,#60,JIA2MOV R1,#00HLJMP JIA2JIA3: P1.1,JIA4INC R0CJNE R0,#24,JIA2MOV R0,#00HLJMP JIA2JIA4: P1.2,JIA5INC DATELED2MOV R4,DATELED2CJNE R4,#32,JIA2MOV DATELED2,#01HLJMP JIA2JIA5: P1.3,JIA6INC DATELED1MOV R4,DATELED1CJNE R4,#13,JIA2/JIA2M: CJNE R4,#14,JIA2/CJNE R4,#13,JIA2MMOV DATELED

50、1,#01HLJMP JIA2JIA6: P1.4,JIA9INC DATELED3MOV R4,DATELED3CJNE R4,#100,JIA2MOV DATELED3,#00HLJMP JIA2JIA9: P1.5,JIA7INC DATELED4MOV R4,DATELED4CJNE R4,#100,JIA2MOV DATELED4,#00HLJMP JIA2JIA7: P1.6,JIA8INC NAOMMOV R4,NAOMCJNE R4,#60,JIA2MOV NAOM,#00HLJMP JIA2JIA8: P1.7,JIA2INC NAOHMOV R4,NAOHCJNE R4,#

51、24,JIA2MOV NAOH,#00HLJMP JIA2JIA2: POP 71HPOP 70HMOV A,70HMOV R4,71HLCALL TIMEX/LCALL TIMEXRETIJIAN2X:LJMP JIAN2JIAN1: JNB P3.3,$LCALL TIMEXLCALL TIMEX/LCALL TIMEJNB P3.3,JIAN1MOV 70H,APUSH 70HMOV 71H,R4PUSH 71HMOV A,P1CPL AJZ JIAN2 P1.0,JIAN3DEC R1CJNE R1,#0FFH,JIAN2MOV R1,#59LJMP JIAN2JIAN3: P1.1,

52、JIAN4DEC R0CJNE R0,#0FFH,JIAN2MOV R0,#23LJMP JIAN2JIAN4: P1.2,JIAN5DEC DATELED2MOV R4,DATELED2CJNE R4,#0,JIAN2MOV DATELED2,#31LJMP JIAN2JIAN5: P1.3,JIAN6DEC DATELED1MOV R4,DATELED1CJNE R4,#0,JIAN2MOV DATELED1,#12LJMP JIAN2JIAN6: P1.4,JIAN9DEC DATELED3MOV R4,DATELED3CJNE R4,#0FFH,JIAN2MOV DATELED3,#9

53、9LJMP JIAN2JIAN9: P1.5,JIAN7DEC DATELED4MOV R4,DATELED4CJNE R4,#0FFH,JIAN2MOV DATELED4,#99LJMP JIAN2JIAN7: P1.6,JIAN8DEC NAOMMOV R4,NAOMCJNE R4,#0FFH,JIAN2MOV NAOM,#59LJMP JIAN2JIAN8: P1.7,JIAN2DEC NAOHMOV R4,NAOHCJNE R4,#0FFH,JIAN2MOV NAOH,#23LJMP JIAN2JIAN2: LCALL TIMEXLCALL TIMEXPOP 71HPOP 70HMOV

54、 A,70HMOV R4,71HRETINAOZHO: /INC SHUNAO/MOV 41H,R4/PUSH 41H/MOV R4,SHUNAO/CJNE R4,#0FFH,ENDNAODJNZ SHUNAO,ENDNAO/LCALL TIME3/CPL P1.0/CLR P1.0CPL P1.0MOV SHUNAO,#40/MOV SHUNAO,#0ENDNAO: /POP 41H/MOV R4,41HRETITIME: MOV 60H,#01HTIME1: MOV 61H,#0FFHTIME2: DJNZ 61H,TIME2DJNZ 60H,TIME1RETTIME3: MOV 60H,#20HTIME4: MOV 61H,#0FFHTIME6: DJNZ 61H,TIME6DJNZ 60H,TIME4RETTIMEX: MOV 41H,#05HTIMEX2: MOV 42H,#0FFHTIMEX1: DJNZ 42H,TIMEX1DJNZ 41H,TIMEX2RETEND附录三 系统器件清单电脑 1台单片机开放平台V1.1 一块数据线和电源线

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!