基于VHDL的自动售货机的设计和实现论文

上传人:s**** 文档编号:84801354 上传时间:2022-05-04 格式:DOC 页数:21 大小:229KB
收藏 版权申诉 举报 下载
基于VHDL的自动售货机的设计和实现论文_第1页
第1页 / 共21页
基于VHDL的自动售货机的设计和实现论文_第2页
第2页 / 共21页
基于VHDL的自动售货机的设计和实现论文_第3页
第3页 / 共21页
资源描述:

《基于VHDL的自动售货机的设计和实现论文》由会员分享,可在线阅读,更多相关《基于VHDL的自动售货机的设计和实现论文(21页珍藏版)》请在装配图网上搜索。

1、. . . . 计算机组成原理课程设计报告基于VHDL的自动售货机设计与实现18 / 21课程设计任务书计算机与通信工程学院网络工程专业课程名称计算机组成原理课程设计时间20102011学年第一学期1718周学生指导老师题 目基于单片机水温控制系统主要容:基于VHDL自动售货机的设计与实现,本设计主要运用VHDL语言和MAXPLUS进行设计,用GW48进行仿真,实现预定的自动售货功能。要求:(1)通过对相应文献的收集、分析以与总结,给出相应课题的背景、意义与现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。(3)学按要求编写课程设计报告书,能正确阐述设计和实验结果。(4

2、)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以与实现,给出个人分析、设计以与实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。指导教师对学生在课程设计中的评价评分项目优良中与格不与格课程设计中的创造性成果学生掌握课程容的程度课程设计完成情况课程设计动手能力文字表达学习态度规要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩 指导教师签字 年 月 日基于VHDL的自动售货机设计与实现摘要:本设计运用VHDL语言编写一个自动售货机的控制系统,该系统具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。商品的种类、单价和数量在初始化时

3、输入,然后存储。可以识别的币种为硬币,五毛和一元的面额。用户投入硬币,系统累计数量,然后对比物品的单价和数量,扣除价格,最后计算余额,可以找零。 设计没有考虑硬件的实现,只进行功能的相应仿真,性质为实验性质的课程设计。关键词:VHDL、自动售货机、课程设计、MXAPLUS、系统仿真Abstract: This design use of VHDL language design a vending machine control system, the system have the functions to storagethe goods information, process cont

4、rol, coin handling, balance calculation, display and other functions. The type of goods, unit price and quantity in the initialization to be input, and then stored. Currency for the coins can be identified, fifty cents and one dollar denomination. User input coins, the system account sum, and then c

5、omparing the unit price and quantity of goods, net price, the final calculation of the balance, you can give change. Design did not consider the hardware implementation, only the corresponding functional simulation, experimental nature of the curriculum design.Keywords: VHDL, vending machines, curri

6、culum design, MXAPLUS , system simulation目 录1. 引言.71.1 自动售货机系统概述71.2 设计任务和主要容82. 系统设计过程.92.1 自动售货机系统总体框图92.2 系统功能模块92.3 程序源代码113. 系统仿真.153.1 系统仿真全图153.2 系统分步仿真图. 164. 总结.20参考文献. 211 引言随着现在生活节奏越来越快,自动售货机的出现大大方便了人们的日常生活。它使得人们在匆忙的上学、上班途中能方便的购买到自己需要的常见日常用品。因为有需求,所以才有市场,这就是本设计的最大意义所在。这是我们将书本中知识运用到生活中的开端,

7、尽管存在许多的不完善和稚嫩的地方,但对于我们学生来说,却是一次很不寻常的体验。学有所用的感觉也让我在进行设计的时候倍受激励,从而最大程度的投入到本次设计中来。而对VHDL语言的应用,也是我们第一次自学一门语言。以往都是在老师的教导下系统的学习,这次则是自己去网上、图书馆找资料进行学习,这对提高我们的自学能力和独立完成任务的能力都很有帮助。1.1 自动售货机系统概述自动化、机械化是信息时代发展的必然产物,许许多多的原本需要人力来完成的任务都在被机器取代,自动售货机就是解放人类劳动力的发明之一。随着科技的发展与人们生活水平的提高,自动售货机市场的发展越来越呈现出多元化与个性化的需求。通过自动售货机

8、进行自助购物正逐渐成为市民的一种新的消费时尚,快捷方便的购物方式满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化亮化城市环境,方便群众生活,已经成为城市各角落的一道亮丽风景线。自动售货机已在国普与,并成为城市现代文明程度的一种象征性标志。1.2 设计任务和主要容1 预定实现功能存储物品的种类、单价、数量投入硬币数量与金额的累加扣除购买物品价格后余额显示金额与计算找零2 主要容通过用VHDL语言编码,实现预定功能,再应用maxplus进行仿真,的到仿真图。并进行系统的语言组织,写成实验报告。2 系

9、统设计过程2.1 自动售货机系统总体框图时钟按键数据投币控制器译码RAM分频器显示图2.12.2 各功能模块模块框图控制系统金额模块显示模块物品模块信号模块图2.2各模块功能 金额模块:用于依据设置的金额,计算用户投币的总金额,物品的单价、总价,以与用户的余额。 显示模块:将计算的结果与用户操作信号译码后显示在显示屏上。 物品模块:记录物品的种类、对应的单价、以与其数量 信号模块:系统对系统时钟,用户的买、选择、完成信号,显示 开关信号等信号的设置。2.3 程序源代码-文件名:pl_auto1.vhd。-功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-说明:显示的钱数coin的

10、 以5角为单位。library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_auto1 isport ( clk:in std_logic; -系统时钟set,get,sel,finish: in std_logic; -设定、买、选择、完成信号coin0,coin1: in std_logic; -5角硬币、1元硬币price,quantity :in std_logic_vector(3 downto 0); -价格、数量数据i

11、tem0 , act:out std_logic_vector(3 downto 0); -显示、开关信号y0,y1 :out std_logic_vector(6 downto 0); -钱数、商品数量显示数据act10,act5 :out std_logic); -1元硬币、5角硬币end PL_auto1;architecture behav of PL_auto1 istype ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; -定义RAMsignal item: st

12、d_logic_vector(1 downto 0); -商品种类signal coin: std_logic_vector(3 downto 0); -币数计数器signal pri,qua:std_logic_vector(3 downto 0); -商品单价、数量signal clk1: std_logic; -控制系统的时钟信号begin:process(set,clk1)variable quan:std_logic_vector(3 downto 0);begin if set=1 then ram(conv_integer(item)=price & quantity;act=0

13、000;-把商品的单价、数量置入到RAM elsif clk1event and clk1=1 then act5=0; act10=0; if coin0=1 then if coin1001then coin=coin+1; -投入5角硬币,coin自加1 else coin=0000; end if; elsif coin1=1 then if coin1001then coin=coin+2; -投入1元硬币,coin自加2 else coin=0000; end if; elsif sel=1 then item0000 and coin=pri then coin=coin-pri

14、;quan:=quan-1;ram(conv_integer(item)=pri & quan; if item=00 then act=1000; -购买时,自动售货机对4种商品的操作elsif item=01 then act=0100; elsif item=10 then act=0010; elsif item=11 then act0001 then act10=1;coin0000 then act5=1; coin=coin-1; else act5=0; act10=0; end if; elsif get=0 then act=0000; for i in 4 to 7 l

15、oop pri(i-4)=ram (conv_integer(item)(i); -商品单价的读取 end loop; for i in 0 to 3 loop quan(i):=ram(conv_integer(item)(i); -商品数量的读取 end loop; end if; end if;qua=quan;end process ;m32:process(clk) -此进程完成对32Mhz的脉冲分频variable q: std_logic_vector( 24 downto 0);begin if clkevent and clk=1 then q:=q+1; end if; i

16、f q=1111111 then clk1=1; else clk1item0item0item0item0y0y0y0y0y0y0y0y0y0y0y0y1y1y1y1y1y1y1y1y1y1y1=1111111; end case;end process;end behav;3.1系图统仿真全注:仿真图里没有对clk信号进行分频处理。图 3.1系统仿真全图3.2系统分步仿真图图 3.2.1 系统仿真图-预置部分图 3.2.2系统仿真图-商品种类选择部分2图 3.2.3系统仿真图-投币部分图 3.2.4系统仿真图-购买、找币结束交易部分总结 本次课程设计是我第一次用一门自己陌生的语言做的设计,

17、也知道不会做得很完善,现在只能说是在模拟上初步通过,也许在硬件上会得到不同的结果。但我们本来设计的初衷就只是锻炼一下自己的动手实践的能力,所以这样的结果也无可厚非了。关于自动售货机系统,因为时间和知识缺乏的关系,设计得很是简陋,远远达不到当今社会的需求。如果条件允许的话,应该要多增加商品的数量,还要添加纸币的识别,因为纸币毕竟是主流的货币。课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可

18、以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做计算机组成原理方面课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 参考文献:1 王雯隽 基于Verilong-HDL自动售货机的设计与实现M 航空航天大学 2005年5月2淑 王宪伟 电子技术实验与课程设计 第一版M 清华大学 2006年8月3 光明 施金鸿 电子技术课程设计与综合试训M 第一版 航空航天大学 2007年5月4赖义汉 自动售货机控制系统VHDL有限机状态实现M 科学 2007年 1月5中国电子网. .21ic.

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!