10783_数字系统设计与PLD应用技术

上传人:痛*** 文档编号:84788834 上传时间:2022-05-04 格式:DOC 页数:10 大小:65.50KB
收藏 版权申诉 举报 下载
10783_数字系统设计与PLD应用技术_第1页
第1页 / 共10页
10783_数字系统设计与PLD应用技术_第2页
第2页 / 共10页
10783_数字系统设计与PLD应用技术_第3页
第3页 / 共10页
资源描述:

《10783_数字系统设计与PLD应用技术》由会员分享,可在线阅读,更多相关《10783_数字系统设计与PLD应用技术(10页珍藏版)》请在装配图网上搜索。

1、XX高等教育自学考试大纲课程名称:数字系统设计及PLD应用技术课程代码:10783 实践环节:10784.课程性质与设置目的和要求一、 课程性质、地位和任务数字系统设计与PLD应用技术是高等教育自学考试电子信息工程专业(独立本科段)考试计划中的一门重要专业课。随着电子信息技术的迅猛发展,现代电子产品的设计技术发生了革命的变化,国外已广泛采用了电子设计自动化(EDA)技术。利用EDA技术,电子系统工程师可快速方便地实现数字系统的集成。为了适应电子信息技术发展的潮流和国际竞争对人材的需要,在本科生中进行EDA技术的教学已成为当务之急。本课程的任务是:通过课堂教学和学生实际课程设计实验的锻炼,使学生

2、掌握数字系统与PLD应用相关的基本知识,掌握现代数字系统的设计思想和方法,并具有动手设计简单电子系统的能力。让学生使用EDA技术,完成数字电路及系统的自动化设计。通过本课程的学习,要求学生能够掌握EDA工具软件的使用方法和硬件描述语言(Verilog HDL)的编程方法。掌握EDA工具软件的编辑、编译、综合、仿真、编程下载和硬件验证等基本操作,掌握硬件描述语言的语法规则和描述方式,能用硬件描述语言完成数字电路常用组合逻辑和时序逻辑道路的设计,并初步具有数字系统的设计能力。二、本课程的基本要求1熟悉EDA设计流程。2熟悉EDA工具软件的使用方法,掌握EDA技术的原理图输入设计法,掌握用原理图输入

3、法实现多层次系统电路的设计。3熟悉Verilog HDL设计模块的基本结构,熟悉Verilog HDL的语言规则,熟悉用Verilog HDL实现各种类型数字电路及系统设计的方法。4了解可编程逻辑器件的分类、结构及特性,了解可编程逻辑器件的编程方法。5熟悉EDA技术的应用,掌握数字电路常用组合逻辑和时序逻辑道路的设计,并初步具有数字系统的设计能力。通过本课程的学习,目的是使学生从功能电路设计转向系统设计,由传统的通用集成电路的应用转向可编程逻辑器件的应用,从硬件设计转向硬件软件高度渗透的设计,从而拓宽数字技术知识面和设计能力。课程的基本要掌握数字设计的基本方法,算法的设计方法, VHDL语言的

4、基本概念、语法特征和应用,以及PLD的原理、组成及应用。三、本课程与相关课程的联系本课程的先修课程为电路分析基础、C语言程序设计、数字逻辑电路等信息与通信类专业基础课。.课程容与考核目标试卷中对不同能力层次的试题比例大致是:“识记”为10%、“理解”为30%、“应用” 为60%。第一章 EDA技术概述一、课程容1、EDA技术及其发展2 、Top-down设计3 、数字设计的流程4 、常用的EDA软件工具5、 EDA技术的发展趋势二、学习目的与要求本章介绍的是EDA技术的发展,要求掌握数字设计的流程及常用EDA软件工具。三、考核知识点与考核要求1、EDA技术及其发展,要求达到“识记”层次。2 、

5、Top-down设计,要求达到“识记”层次。3 、数字设计的流程,要求达到“理解”层次。4 、常用的EDA软件工具,要求达到“识记”层次。5、 EDA技术的发展趋势,要求达到“识记”层次。第二章 FPGA/CPLD器件一、课程容1、 PLD器件概述2、 PLD的基本原理与结构3、 CPLD的原理与结构4、 FPGA/CPLD的编程与配置5、 FPGA/CPLD器件概述6、 FPGA/CPLD的发展趋势二、学习目的与要求 本章介绍的是PLD器件的概述,要求掌握PLD的原理与结构。三、考核知识点与考核要求1、 PLD器件概述,要求达到“识记”层次。2、 PLD的基本原理与结构,要求达到“识记”层次

6、。3、低密度PLD的原理与结构,要求达到“识记”层次。4、 CPLD的原理与结构,要求达到“理解”层次。5、 FPGA的原理与结构,要求达到“识记”层次。6、 FPGA/CPLD的编程元件,要求达到“识记”层次。7、边界扫描测试技术,要求达到“识记”层次。8、 FPGA/CPLD的编程与配置,要求达到“识记”层次。9、 FPGA/CPLD器件概述,要求达到“识记”层次。10、 FPGA/CPLD的发展趋势,要求达到“识记”层次。第三章 Quartus II集成开发工具一、课程容1 、基于Quartus II进行EDA设计开发的流程2、Quartus II原理图设计3、Quartus II的时序

7、分析4、编译和仿真5、计数器74161设计举例二、学习目的与要求基于Quartus II进行EDA设计开发的流程以及Quartus II原理图设计、时序分析、编译和仿真等,计数器74161设计举例。三、考核知识点与考核要求1、基于Quartus II进行EDA设计开发的流程,要求达到“理解”层次。2、Quartus II原理图设计方法,要求达到“应用”层次。3、基于Quartus II,用74283(4位二进制全加器)设计实现一个8位全加器,并进行综合和仿真,查看综合结果和仿真结果,要求达到“应用”层次。4、Quartus II的优化设置方法,要求达到“识记”层次。5、Quartus II的时

8、序分析,要求达到“识记”层次。6、基于宏功能模块的设计,要求达到“识记”层次。7、锁相环模块,要求达到“识记”层次。第四章 Verilog设计初步一、课程容1、 Verilog简介2、 Verilog模块的结构3、 Verilog基本组合电路设计4、 Verilog基本时序电路设计二、学习目的与要求通过本章学习,正确掌握Verilog语言的基本概念、语法特征,要求应用Verilog语言来描述各种实际的电路。要求掌握基于Verilog语言的组合逻辑电路设计和时序逻辑电路设计。三、考核知识点与考核要求1、Verilog语言的特点,要求达到“识记”层次。2、Verilog模块的结构,要求达到“理解”

9、层次。3、Verilog基本组合电路设计方法,要求达到“应用”层次。 例:三人表决电路的Verilog描述4、Verilog基本时序电路设计方法,要求达到“应用”层次。第五章 Verilog语法与要素一、课程容1、 Verilog语言要素2、常量3、数据类型4、参数5、向量6、运算符二、学习目的与要求本章介绍的是Verilog语法与要素,要求掌握Verilog语言里的常量、数据类型等各种参数。三、考核知识点与考核要求1、 Verilog语言要素,要求达到“识记”层次。2、常量,要求达到“理解”层次。3、数据类型,要求达到“识记”层次。4、参数,要求达到“识记”层次。5、向量,要求达到“识记”层

10、次。6、运算符,要求达到“理解”层次。第六章 Verilog行为语句一、课程容1、过程语句2、块语句3、赋值语句4、条件语句5、循环语句6、编译指示语句7、任务与函数8、顺序执行与并发执行二、学习目的与要求本章介绍的是Verilog行为 ,要求掌握Verilog语言里的各种不同语句的用法。三、考核知识点与考核要求1、过程语句(initial、always),其中initial要求达到“识记”层次。always要求达到“理解”层次。2、块语句(begin-end、fork-join),其中begin-end要求达到“理解”层次。fork-join要求达到“识记”层次。3、赋值语句(assign、

11、=、=),要求达到“理解”层次。4、条件语句(if-else、case、casez、casex),要求达到“理解”层次。5、循环语句(for、forever、repeat、while),要求达到“理解”层次。6、编译指示语句(define、include、ifdef、else、endif),要求达到“识记”层次。7、任务(task)与函数(function),要求达到“识记”层次。8、顺序执行与并发执行,其中并发执行l要求达到“识记”层次。顺序执行要求达到“理解”层次。9、顺序执行的例子,要求达到“应用”层次。第七章 Verilog设计的层次与风格一、课程容1、结构(Structural)描述

12、2、行为(Behavioural)描述3、基本组合电路设计4、基本时序电路设计二、学习目的与要求通过本章学习,要求掌握Verilog语言设计几种常用的描述方法,并能够编写基本组合逻辑电路的设计程序和时序逻辑电路的设计程序。三、考核知识点与考核要求1、Verilog设计的层次,要求达到“识记”层次。2、结构(Structural)描述,要求达到“识记”层次。3、行为描述的特点,要求达到“识记”层次。4、门元件的调用,要求达到“理解”层次。5、行为描述的应用,要求达到“应用”层次。 例:行为描述的1位全加器6、数据流描述特点,要求达到“识记”层次。 例:数据流描述的1位全加器,要求达到“应用”层次

13、。第八章 Verilog设计进阶一、课程容1、加法器设计2、乘法器3、数字跑表4、实用多功能数字钟 二、学习目的与要求通过本章学习,要求能够利用Verilog语言设计几种常用的数字系统,为今后的系统设计打下一个良好的基础。三、考核知识点与考核要求1、加法器设计,要求达到“应用”层次。2、乘法器,要求达到“理解”层次。3、数字跑表,要求达到“应用”层次。4、设计一个可预置的16进制计数器,要求达到“应用”层次。5、实用多功能数字钟,要求达到“应用”层次。实践环节一、 类型课程实验二、考核目的与要求通过上机及使用EDA实验开发系统,加深对课程容的理解,增加感性认识,提高Verilog HDL软件设

14、计、编写及程序调试能力。 要求所编的程序能正确运行,并提交实验报告。实验报告的基本要求为: 1、需求分析:述程序设计的任务,强调实验要做什么,明确规定: (1)输入的形式; (2)输出的形式; (3)程序所能达到的功能; (4)测试:包括正确的输入和仿真输出结果以及实验系统的输出结果。 2、概要设计:说明所用到的开发工具、实验的系统、层次设计关系。 3、详细设计:提交带注释的VHDL语言程序或以原理图输入电路图。4、调试分析:(1)调试过程中所遇到的问题及解决方法; (2)经验与体会; (3)程序所能达到的功能; (4)测试结果:答应设计输入所实现的结果。三、实验大纲实验总时数为16学时。数字

15、系统设计与PLD应用技术课程实验实验一 Quartus II工具软件的使用方法容:1、Quartus II输入设计法的编辑、编译、仿真和编程下载的操作过程。2、EDA试验仪的使用方法。实验二 原理图设计实验容:1、用原理图输入法设计设计一位全加器电路,并完成相应的编辑、编译、仿真和编程下载的操作。 2、用设计好的一位全加器电路,设计4位加法器电路,掌握用原理图输入法实现数字系统的层次化设计。实验三 Verilog HDL编程实验(1)容:1、用Verilog HDL设计编码器(CT74138)和优先编码器电路。2、完成编码器设计的编辑、编译、仿真和编程下载的操作。实验四 Verilog HDL

16、编程实验(2)1、 用Verilog HDL设计计数器(CT74161和CT74160)电路。2、 完成计数器设计的编辑、编译、仿真和编程下载的操作。实验五 Verilog HDL编程实验(3)1、 用Verilog HDL设计分频器电路。2、 完成分频器设计的编辑、编译、仿真和编程下载的操作。实验六系统实验(1)容:1、完成计时器系统电路的设计。 2、完成计时器系统电路的编辑、编译、仿真和编程下载的操作。实验七系统实验(2)容:1、按键加法减法电路的设计。2、完成按键计数电路的编辑、编译、仿真和编程下载的操作。实验八系统实验(3)容:1、电子日历的设计。 2、完成电子日历电路的编辑、编译、仿

17、真和编程下载的操作。.有关说明与实施要求 一、 关于课程容与考核目标中相关提法的说明本课程的考核目标共分为三个能力层次:识记、理解和应用,他们之间是递进等级的关系,后者必须建立在前者基础上。其具体含义为:识记:能知道有关的名词、概念、知识的含义,并能正确认识和表述,是最低层次的要求。理解:在识记的基础上,能全面把握基本概念、基本原理、基本方法,能掌握有关概念、原理、方法的区别与联系,是较高层次的要求。应用:在理解的基础上,能运用基本概念、基本原理、基本方法分析和解决有关的理论问题和实际问题。“应用”一般分为“简单应用”和“综合应用”,其中“简单应用”指在理解的基础上能用学过的一两个知识点分析和

18、解决简单的问题;“综合应用”指在简单应用的基础上能用学过的多个知识点综合分析和解决比较复杂的问题,是最高层次的要求。二 、教材数字系统设计与Verilog HDL第四版,王金明主编,电子工业出版,20XX版。三、学习指导方法自学能力的培养是至关重要的,如果能掌握良好的自学方法,将起到事半功倍的效果。为了有助于自学,以便能更好的掌握这么课程,希望同学们在自学过程中注意以下几点:1、学生自学时,应先仔细阅读本大纲,明确大纲规定的课程容和考试目标及所列各章中考核的知识点和考核要求,以便突出重点,有的放矢地掌握课程容。2、在了解考试大纲容的基础上,根据考核知识点和考核要求,认真阅读教材,把握各章节的具

19、体容,吃透每个知识点,对基本概念和基本原理必须深刻理解, 对基本方法牢固掌握,并融会贯通,在头脑中形成完整的容体系。3、在自学各章节容时,能够在理解的基础上加以记忆,切勿死记硬背;同时在对一些知识容进行理解把握时,联系实际问题思考,从而达到深层次的认识水平。4、为了提高自学效果,应结合自学容,尽可能的多看一些例题和动手做一些练习。在指定教材中,每中均提供了例题,这些例题多为实际应用的例子,具有代表性,考生应在自学过程中仔细阅读,从而帮助理解概念和应用知识;此外,在各章末均附有丰富的习题,动手做练习是达到理解、记忆、应知应会的好办法。四、课程学分本课程总共五个学分,其中含实验一学分。五、对社会助

20、学的要求1、 熟知考试大纲对课程提出的总要求和各章的知识点。2、 掌握各知识点要求达到的能力层次,并深刻理解对各知识点的考核目标。3、 辅导时, 应以考试大纲为依据,制定的教材为基础,不要随意增删容,以免与大纲脱节。4、 辅导时,应对学习方法进行指导。提倡“认真阅读教材,刻苦钻研教材,主动争取帮助,依靠自己学通”的方法。5、 辅导时, 要注意突出重点, 对学生提出的问题,不要有问即答,要积极启发引导。6、 注意对应考者能力的培养,特别是对自学能力的培养, 要引导学生逐步学会独立学习,在自学过程中善于提出问题,分析问题,做出判断, 解决问题。7、 要使学生了解试题的难易与能力层次高低两者不完全是

21、一回事,在各个能力层次中会存在不同难度的试题。六、关于命题考试的若干规定1、本大纲各章所提到的容和考核目标都是考试容。2、试卷中对不同能力层次的试题比例大致是:“识记”为10%、“理解”为30%、“应用” 为60%。3、试题难易程度应合理:易、较易、较难、难比例为:2:3:3:2。4、每份试卷中,各类考核点所占比例约为:重点占65%,次重点占25%,一般占10%。5、本课程命题采用的基本题型包括填空题、简答题、程序分析、程序设计等。6、考试采用闭卷笔试,考试时间150分钟,采用百分制评分,60为及格。附录 题型示例(样题)一、填空题(20分)1、模块声明包括_、_、_几个模块。2、宽度为1位的

22、变量称为_。二、简答题(20分)1、基于FPGA/CPLD的数字系统设计流程包括哪些步骤?三、程序分析题(20分)试分析下述Verilog HDL程序所描述的逻辑功能:module count4(out,reset,clk);input reset,clk; output reg3:0 out;always (posedge clk)beginif(reset) out=0; else out=out+1;endendmodule四、程序设计题(40分)1、用Verilog HDL语言设计一个四人表决器,Y为输出1表示通过,0表示没通过,(A,B,C,D)为四个输入,要求:(1)、给出Y的逻辑表达式;(2)、利用Verilog HDL语言编写程序实现四人表决器功能。2、用Verilog设计一个8位二进制加法计数器,带异步复位端口。10 / 10

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!