EDA编程出租车计价器

上传人:B****n 文档编号:82844756 上传时间:2022-04-30 格式:DOCX 页数:13 大小:88.93KB
收藏 版权申诉 举报 下载
EDA编程出租车计价器_第1页
第1页 / 共13页
EDA编程出租车计价器_第2页
第2页 / 共13页
EDA编程出租车计价器_第3页
第3页 / 共13页
资源描述:

《EDA编程出租车计价器》由会员分享,可在线阅读,更多相关《EDA编程出租车计价器(13页珍藏版)》请在装配图网上搜索。

1、目录1课程设计目的 22设计任务与要求 22.1设计任务 22.2设计要求 23系统组成 24模块设计 34.1秒分频模块 34.2控制模块 34.3计量模块 34.3.1计价部分 44.3.2计时部分 44.3.3计程部分 44.4译码显示模块 45程序调试运行 45.1顶层原理图 45.2仿真结果 56硬件验证 66.1引脚锁定 66.2硬件验证情况 77、课程设计总结77.1 、收获 77.2 、心得体会 8参考文献 9附录 101/13出租车自动计价器的设计1 课程设计目的为培养学生树立正确的设计思想和实事求是的工作作风,学习运用所学基础知识理论、专业知识、基本技能去分析和解决工程技术

2、实际问题,提高学生的综合素质,使学生成为工程应用型合格人才,故进行EDA技术及应用的课程设计。帮助学生全面熟悉、掌握 VHDL语言基本知识,掌握利用 VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。2 设计任务与要求2.1 设计任务本课题要求设计车租车自动计价器,能基本实现自动计价的功能。2.2 设计要求本出租车计价器的计费系统:行程3 公里内,且等待累计时间2 分钟内,起步费为 10 元; 3 公里外以每公里 1.6 元

3、计费,等待累计时间 2 分钟外以每分钟 1.5 元计费。并能显示行驶公里数、等待累计时间、总费用。设计的主要技术指标如下:、计价范围: 0 999.9 元计价分辨率: 0.1 元、计程范围: 0 99 公里计程分辨率: 1 公里、计时范围: 059 分计时分辨率: 1 分3 系统组成出租车自动计价器的系统方框图如图1 所示:它有分频模块、 控制模块、计时模块、计费模块及显示等模块组成。2/13时钟信号分频器计费等待信号计时显控示制器公里脉冲计程计费 /复位图 1. 出租车自动计价器的系统方框图4 模块设计4.1 秒分频模块秒分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,1

4、5Hz和 1Hz的三种频率。该模块产生频率信号用于计费,每个1HZ脉冲为 0.1 元计费控制, 15HZ信号为 1.5 元的计费控制, 16Hz 信号为 1.6 元计费控制。4.2 控制模块控制模块是系统的核心部分,对计价器的状态进行控制。4.3 计量模块计量模块完成计价、计时、计程功能。3/13计价部分行程 3 公里内,且等待累计时间 2 分钟内,起步费为 10 元;3 公里外以每公里 1.6元计费,等待累计时间 2 分钟外以每分钟 1.5 元计费。计费数据送入显示译码模块进行译码,最后送至以百元、十元、元、角为单位对应的数码管上显示。计时部分计时部分用于计算乘客的等待累计时间。计时器的量程

5、为59 分,满量程自动归零。即时数据送入显示译码模块进行译码,最后送至以分为单位对应的数据管上显示。计程部分计程部分用于计算乘客所行使的公里数。计程器的量程为99 公里,满量程自动归零。计程数据送入显示译码模块进行译码, 最后送至以公里为单位对应的数据管上显示。4.4 译码显示模块译码显示模块完成计价、计时、计程数据显示。该模块经过 8 选 1 选择器将计费数据( 4 位 BCD码)、计时数据( 2 位 BCD码)、计程数据( 2 位 BCD码)动态选择输出。其中计费数据送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为999.9 元;计时数据送入显示译码

6、模块进行译码, 最后送至分为单位对应的数码管上显示,最大显示为 59 秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为 99 公里。5 程序调试运行5.1 顶层原理图4/13图 2 出租车自动计价器的原理图5.2 仿真结果图 3 出租车自动计价器的波形仿真图中 clk_240 栏为黑阴影是因为时钟频率太大,周期太小。5/136 硬件验证6.1 引脚锁定做硬件验证之前需要清楚实验板上各键与各信号的链接情况, 参照资料得出本设计中各引脚的对应情况如下,本设计下载时选择模式 0.实验板位置信号通用目标器件引脚名目标器件EP10K10PLCC84引脚号1、键 8:c

7、lk_240PIO7162、键 7:startPIO6113、键 6:stopPIO5104、键 5: loadPIO1095. 数码管 1 min0PIO1930PIO1829PIO17 28PIO16 276. 数码管 2min1PIO23 38 PIO2237PIO2136 PIO20 357. 数码管 3km0PIO2749PIO2648PIO2547PIO24398. 数码管 4 km1PIO31 53 PIO3052PIO29 51 PIO28509. 数码管 5cha0 PIO35606/13PIO3459PIO33 58PIO32 5410. 数码管 6cha1PIO39 65

8、PIO3864PIO3762PIO366111. 数码管 7cha2PIO4371PIO4270PIO4167PIO406612. 数码管 8 cha3PIO4779PIO46 78PIO4573PIO44726.2 硬件验证情况做好引脚锁定后, 打开硬件箱的电源,数码管有正确的数字显示,链接硬件箱与计算机开始验证。操作运行实验结果完全符合要求。通过仿真验证表明,本文所设计的出租车计价器能够正常地显示行驶的里程数和乘客应付的费用,符合预定的计费标准和功能要求。7、课程设计总结7.1 、收获通过一个多星期的课程设计,从选题到查资料,从完善原理图到写报告文档,让我明白了课程设计是名副其实的综合性训

9、练,不仅要运用学过的EDA技术及应用,数字电路、模拟电路以及电子技术实验等知识,还要学会查阅各种图书资料和工具书,并将新知识7/13和所学的结合起来为自己所用。在做整个课程设计的过程中,我进一步熟悉使用了max+plus2 仿真软件,能较好的应用这个软件, 不管是文本程序的编写还是在顶层原理图的设计都有所提高,还增强了动手能力。应用软件的时候,要注意积累每次的体会和错误,下次碰到该注意的地方就要小心点,碰到出过错误的地方就要格外注意不要再犯同样的错误,这种方法应该适用做任何一件事吧。在设计中遇到一些困难和问题,在向老师请教和与同学的讨论中,解决了问题,觉得很有收获。7.2 、心得体会在整个课程

10、设计的过程中让我意识到耐心、毅力和细心是做好一件事的必须品质。在应用 max+plus2 软件的时候,有很多小细节是需要注意的,不然一不小心就会出现很多错误。文本程序编辑的时候,保存要注意后缀名,保存文件名和实体名要一致,保存位置要是某个盘里的某个文件夹,这三个注意点特别重要, 因为其中任何一点都会引发一系列的错误。检查这三点无误后,然后再可以按照运行报告来对程序进行完善。图形编辑中同样有很多需要注意的地方, 以及怎样将文本和图形结合起来。 通过这次设计,我更好地掌握了这些基本技巧,学会了多学多练,也懂得了实践出真知。8/13参考文献1.朱正伟主编,EDA 技术及应用,清华大学出版社, 200

11、52.黄智伟等主编,FPGA 系统设计与实践,电子工业出版社, 20043.沈明山主编,EDA 技术及可编程器件应用实训 ,科学出版社, 20049/13附录出租车计价器源程序library IEEE 。entity taxi isport ( clk_240:in std_logic 。-频率为 240Hz 的时钟start :in std_logic 。-计价使能信号stop:in std_logic 。-等待信号fin:in std_logic 。-公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0)。-费用数据km1,km

12、0:out std_logic_vector(3 downto 0)。-公里数据min1,min0: out std_logic_vector(3 downto 0)。-等待时间end taxi 。architecture behav of taxi issignal f_15,f_16,f_1:std_logic 。 -频率为 15Hz ,16Hz , 1Hz 的信号signal q_15:integer range 0 to 15。-分频器signal q_16:integer range 0 to 14。-分频器signal q_1:integer range 0 to 239。-分频器

13、signal w:integer range 0 to 59。-秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0)。 -制费用计数器signal k1,k0:std_logic_vector(3 downto 0)。-公里计数器signal m1:std_logic_vector(2 downto 0)。-分的十位计数器signalm0:std_logic_vector(3 downto 0)。-分的个位计数器signal en1,en0,f:std_logic。-使能信号begin10/13feipin:process(clk_240,st

14、art)beginif clk_240event and clk_240=1 thenif start=0 then q_15=0 。q_16=0 。f_15=0 。f_16=0 。f_1=0 。f=0 。 elseif q_15=15 then q_15=0 。f_15=1 。 -此 IF 语句得到频率为 15Hz 的信号 else q_15=q_15+1 。f_15=0 。end if 。if q_16=14 then q_16=0 。f_16=1 。-此 IF 语句得到频率为 16Hz 的信号 else q_16=q_16+1 。f_16=0 。end if 。if q_1=239 th

15、en q_1=0 。f_1=1 。 -此 IF 语句得到频率为 1Hz 的信号 else q_1=q_1+1 。f_1=0 。end if 。if en1=1 then f=f_15 。-此 IF 语句得到计费脉冲felsif en0=1 then f=f_16。else f=0 。end if 。end if 。end if 。end process 。process(f_1)beginif f_1event and f_1=1 thenif start=0 thenw=0 。en1=0 。 en0=0 。 m1=000 。 m0=0000 。k1=0000 。k0=0000 。11/13e

16、lsif stop=1 thenif w=59 then w=0 。-此 IF 语句完成等待计时if m0=1001 then m0=0000。 -此 IF 语句完成分计数if m1=101 then m1=000。else m1=m1+1 。end if 。else m00000001then en1=1。-此 IF 语句得到 en1 使能信号else en1=0 。end if 。else w=w+1 。en1=0 。end if 。elsif fin=1 thenif k0=1001 then k0=0000。 -此 IF 语句完成公里脉冲计数if k1=1001 then k1=000

17、0。else k1=k1+1 。end if 。else k000000010 then en0=1。-此 IF 语句得到 en0 使能信号else en0=0 。end if 。else en1=0 。en0=0 。end if 。cha3=c3 。 cha2=c2 。cha1=c1 。cha0=c0 。-费用数据输出km1=k1 。km0=k0 。min1=0&m1 。 min0=m0 。 -公里数据、分钟数据输出 end if 。12/13end process 。process(f,start)beginif start=0 then c3=0000。c2=0001 。c1=0000 。c0=0000 。elsif fevent and f=1 thenif c0=1001 then c0=0000。-此 IF 语句完成对费用的计数if c1=1001 then c1=0000。if c2=1001 then c2=0000。if c3=1001 then c3=0000。else c3=c3+1 。end if 。else c2=c2+1 。end if 。else c1=c1+1 。end if 。else c0=c0+1 。end if 。end if 。end process 。end behav 。13/13

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!