EDA技术及应用课程设计乒乓球游戏机

上传人:仙*** 文档编号:82427721 上传时间:2022-04-29 格式:DOC 页数:18 大小:165.50KB
收藏 版权申诉 举报 下载
EDA技术及应用课程设计乒乓球游戏机_第1页
第1页 / 共18页
EDA技术及应用课程设计乒乓球游戏机_第2页
第2页 / 共18页
EDA技术及应用课程设计乒乓球游戏机_第3页
第3页 / 共18页
资源描述:

《EDA技术及应用课程设计乒乓球游戏机》由会员分享,可在线阅读,更多相关《EDA技术及应用课程设计乒乓球游戏机(18页珍藏版)》请在装配图网上搜索。

1、EDA技术及应用课程设计(实习)报告题目 乒乓游戏机 姓 名:学 号:专 业:班 级:指导教师:职 称:电子工程学院目录1 引言62 设计的目的63 乒乓游戏机的功能63.1 乒乓游戏机设计思路73.2 乒乓球各模块的设计73.3.1 控制模块的设计73.3.2 送数据模块的设计133.3.3 产生数码管片选信号模块的设计143.3.4 7段译码器模块的设计154 系统的波形仿真175 乒乓游戏机顶层电路图186 硬件测试及引脚锁定187 设计心得与体会18参考文献20乒乓游戏机1 引言乒乓球运动是一项受大众非常喜爱的运动,它是一项集健身性,竞技性和娱乐性为一体的运动。乒乓球是一项非常受大众喜

2、欢的运动,几十年来,乒乓球运动在中国迅速兴起,很重要的原因就是对客观条件要求不高,随时随处就能玩起来。乒乓球运动越来越多地被作为增强智力、提高工作效率以及保健、医疗和康复的极佳手段而引起各方面的重视。如今,人们生活太忙碌,很多的人只能通过网络来玩乒乓球游戏,而乒乓球游戏机正好解决了人们的困扰。它简单易行,只要简简单单几个手指的操作就能达到同样娱乐跟锻炼的效果。2 设计的目的这次的设计主要是学习使用EDA集成设计软件MxplussII,电路描述,综合,模拟过程。掌握使用EDA工具设计乒乓游戏机的的设计思路和设计方法。体会使用EDA综合过程中电路设计方法和设计思路的不同。3 乒乓游戏机的功能两人乒

3、乓游戏机能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定的方向移动来表示球的运动。在游戏机的两侧各设置两个开关,一个是发球开关(s1a,s1b),另一个是击球开关(s2a,s2b)。甲乙两人按乒乓球比赛的规则来操作开关。当甲方按动发球开关s1a时,靠近甲方的第一盏灯亮,然后二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,按设计者规定的球位乙方就可以击球。若乙方提前击球或者没有击着球,则判乙方失分,甲方记分牌自动加分。然后重新发球,比赛继续进行。比赛一直进行到一方记分到11分,该局结束

4、,记分牌清零,可以开始新的一局比赛。具体功能如下:(1)使用乒乓游戏机的甲乙双方各在不同的位置发球或击球。(2)乒乓球的位置和移动方向由灯亮及依次点燃的方向决定,球移动的速度为0.10.5s移动一位。游戏者根据球的位置发出相应的动作,提前击球或者出界均判失分。(3)比赛用11分为一局来进行,甲乙双方都应设置各自的记分牌,任何一方先记满11分,该方就算胜了此局。记分牌清零后,又可开始新的一局比赛3.1 乒乓游戏机设计思路根据乒乓游戏机功能要求,可以分成四个模块来实现,其中corna模块为整个程序的核心,它实现了整个系统的全部逻辑功能;模块ch41a在数码的片选信号时,送出相应的数据;模块sel产

5、生数码管的片选信号;模块disp是7段译码器。3.2 乒乓球各模块的设计3.3.1 控制模块的设计 模块corna 分两个进程,第一个进程实现逻辑功能,第二个进程将整数的记分转换为十进制数,便于译码显示。Af,aj,bf,bj分别为a方发球键和接球键,b方发球键和接球键,shift表示球所在的位置。其vhdl程序描述如下:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity cornal isPort(clr,af,aj,bf,bj,clk:in std_logic;Shift:out s

6、td_logic_vector(7 downto 0);Ah,al,bh,bl:out std_logic_vector(3 downto 0);Awin,bwin:out std_logic);End cornal;Architecture bahave of cornal isSignal amark,bmark:integer;Begin Process(clr,clk)Variable a,b:std_logic;Variable she:std_logic_vector(7 downto 0);Begin If clr=0 t hena:=0;b:=0;she:=”00000000”

7、amark=0;bmark8 then if bj=0 then -b方过网击球 amark=amark+1; -a方加一分 a:=0; b:=0; she:=”00000000”; else she:=0&she(7 downto 1); -b方没有击球 end if;elsif she=0 then -球从b方出界 amark=amark+1; -a方加一分 a:=0; b:=0;else if bj=0 then -b方正常击球a:=0;b:=1;else she:=0&she(7 downto 1); -b方没有击球end if;end if;elsif a=0 and b=1then

8、 -b方发球 if she16 and she/=0 then if aj=0 then bmark=bmark+1; a:=0; b:=0; she:=”00000000”; else she:=she(6 downto 0)&0;end if;elsif she=0 thenbmark=bmark+1;a:=0;b:=0;elseif aj=0then a:=1; b:=0;else she:=she(6 downto 0)&0;end if;end if;end if;end if;shifttmp1 then if ala=”1001” thenala:=”0000”;aha:=aha

9、+1;tmp1:=tmp1+1;else ala:=ala+1; tmp1:=tmp1+1;end if;elsif bmarktmp2 then if bla=”1001” thenbla:=”0000”;bha:=bha+1;tmp2:=tmp2+1;else bla:=bla+1; tmp2:=tmp2+1;end if;end if;end if;al=ala;bl=bla;ah=aha;bh=bha;awin=t1;bwinqqqq=d3;End case;End process;End behave;3.3.3 产生数码管片选信号模块的设计产生数码管片选信号模块sel的vhdl程序

10、描述如下:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity sel is Port(clk:in std_logic;Sell:out std_logic_vector(2 downto 0);End sel;Architecture behave of sel isBegin Process(clk) Variable tmp:std_logic_vector(2 downto 0);Begin If clkevent and clk=1 then If tmp=”000” then

11、 Tmp:=”001”; Elsif tmp=”001” then Tmp:=”100”;Elsif Tmp=”100” then Tmp:=”101”;Elsif tmp=”101” then Tmp:=”000”;End if;End if;Sellqqqqqqqqqq=”1101111”;End case;End process;End behave;4 系统的波形仿真 图1所示为A方发球,在恰当的时候B方接到球,当球回到A方时,A方又接到球,但B方再也没有接到球的仿真波形。图1 乒乓球仿真波形一图2所示为A方两次发球,B方没有接到球,A方得到2分的仿真波形图图2乒乓球仿真波形二图3所示

12、为A方发球,B方提前击球的情况,此时,A方得一分。图中还显示了A方发球,B方在规定的时刻没有接到球的情况,此时,A方又得一分。图3乒乓球仿真波形三图4所示为A方得分增加到11分的情况,此时awin输出高电平,输出分数保持不变。当清零信号按下时,得分清为零,awin输出恢复低电平,又可以开始新的一局比赛。图4乒乓球仿真波形四5 乒乓游戏机顶层电路图图5乒乓游戏机顶层电路图6 硬件测试及引脚锁定 在这次的硬件测试中,采用六号模式。其中的clr,af,aj,bf,bj均为输入信号,并且只有高电平和低电平之分,所以,在锁定的时候af,aj分别接入16,11,在硬件器件上分别接在键8和键7,同理bf,b

13、j锁定在18,19号的引脚上,分别对应的键4和键5,异步清零信号clr则接在键3, clk,sclk为时钟脉冲信号分别接在42,43号。输出信号为q6q0,shift0shift7,q输出为A,B两方的分数,它的接出了七段数码管,shift代表乒乓球的移动,它的接出为发光二极管。其中q6-78,q5-73,q4-72,q3-71,q2- 70,q1-67,q0-66;shift7-38, shift6-37, shift5-36, shift4-35, shift3-30, shift2-29, shift1-28, shift0-27.引脚锁定如下图所示: 图六 引脚锁定图7 设计心得与体会

14、这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也能仿真正确了。最难的是引脚锁定与测试这一块,由于,我选的乒乓球游戏机的引脚很多,而且也比较复杂,其

15、中老是将引脚弄错,终于锁定完了,编译也没有出错,当在硬件上测试的时候,发现结果并不像设定的那么好,比如在发球的时候,根本没有设置首先从哪边开始,然后在接发球的过程中很难接到,不过也有接到的时候,基本上达到了实验的要求。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。参考文献1阎石 主编,数字电子技术基础(第五版),高等教育出版社,20062朱正伟主编,EDA技术及应用,清华大学出版社,20053廖裕评等主编,CPLD数字电路设计使用MAX+plus入门篇,清华大学出版社,20044张亦华等主编,数字逻辑设计实验技术与EDA工具,北京邮电大学出版社,20035谭会生等主编,EDA技术及应用,西安电子科技大学出版社,20016黄智伟等主编,FPGA系统设计与实践,电子工业出版社,2004

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!