课程设计(论文)基于VHDL的ROM的设计与仿真

上传人:无*** 文档编号:80760132 上传时间:2022-04-26 格式:DOC 页数:16 大小:8.56MB
收藏 版权申诉 举报 下载
课程设计(论文)基于VHDL的ROM的设计与仿真_第1页
第1页 / 共16页
课程设计(论文)基于VHDL的ROM的设计与仿真_第2页
第2页 / 共16页
课程设计(论文)基于VHDL的ROM的设计与仿真_第3页
第3页 / 共16页
资源描述:

《课程设计(论文)基于VHDL的ROM的设计与仿真》由会员分享,可在线阅读,更多相关《课程设计(论文)基于VHDL的ROM的设计与仿真(16页珍藏版)》请在装配图网上搜索。

1、课程设计说明书 NO.1 ROM的VHDL设计与仿真 沈 阳 大 学课程设计说明书 NO.2 目 录1、课程设计的目的.12、设计方案论证 .23、课程设计的程序编写 .44、设计结果与分析 .65、心得体会.136、参考文献.14 沈 阳 大 学课程设计说明书 NO.11.课程设计的目的 IC设计基础课程设计是学习IC设计基础课程之后的实践教学环节。 根据课堂讲授内容,学生做相应的自主练习,消化课堂所讲解的内容;通过调试典型例题或习题积累调试电路程序的经验;通过完成辅导教材中的编程题,逐渐培养学生的编程能力、用计算机解决实际问题的能力。其目的是训练学生综合运用学过的IC设计技术的基础知识,通

2、过解决比较简单的实际问题巩固和加深在IC设计基础课程中所学的理论知识和实验技能。独立完成查找资料,选择方案,设计电路,安装调试,分析结果,撰写报告等工作。使学生进一步理解所学本课程的内容,初步掌握模拟电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生。并理论联系实际提高和培养学生的分析、解决实际问题的能力,创新能力及动手能力,为后续课程的学习、毕业设计、毕业后的工作打下基础。在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过课程设计,把以前所学过的知识重新温故,巩固了所学的知识。 沈 阳 大 学课程设计说明书 NO.22.设计方案论证2.1软件介

3、绍 Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。Max+plus开发系统的特点:1、开放的界面,Max+plus支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。2、与结构无关

4、,Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。3、完全集成化,Max+plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库,Max+plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。5、模块化工具,设计人员可以从各

5、种设计输入、处理和较验选项中进行选择从而使设计环境用户化。6、硬件描述语言(HDL),Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。7、Opencore特征,Max+plus软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。2.2ROM概述 在数字电路中,存储器是一种能够存储大量二进制信息的逻辑电路,用来构成数字电路或数字系统中的存储部分。对于存储起来说,由于存储单元数目极大而器件的引脚数目有限,因此存储器采用一种地址的方法来进行存储单元的访问,这样可以大大减低存储器引脚的数目。一般可以将存储器分为只读

6、存 沈 阳 大 学课程设计说明书 NO.3储器(ROM),和随机存储器(RAM)。ROM按其性能不同可分为:ROM,只读内存(Read-Only Memory)是一种只能读取资料的内存;PROM可编程程序只读内存(Programmable ROM,PROM)之内部有行列式的镕丝,视需要利用电流将其烧断,写入所需的资料,但仅能写录一次;.EPROM,可抹除可编程只读内存(Erasable Programmable Read Only Memory,EPROM)可利用高电压将资料编程写入,抹除时将线路曝光于紫外线下,则资料可被清空,并且可重复使用;EEPROM ,电子式可抹除可编程只读内存(Ele

7、ctrically Erasable Programmable Read Only Memory,EEPROM)之运作原理类似EPROM,但是抹除的方式是使用高电场来完成,因此不需要透明窗。只读存储器在正常工作时从中读取数据,不能快速地修改或重新写入数,适用于存储固定数据的场合。下图是一个ROM存储的例子,该ROM有4位地址线ADDR(0)ADDR(3),8位数据输出线DATAOUT(0)DATAOUT(7)及使能CE,如图1所示。图1 ROM原理图 沈 阳 大 学课程设计说明书 NO.4表1 ROM端口说明端口宽度方向说明CE1输入控制引脚ADDR3.04输入从处理器来的地址DATAOUT7

8、.08输出输出到ROM的地址信号 本次课程设计设计的是寻址存储器设计:16*8位ROM2.3源程序library ieee;use ieee.std_logic_1164.all;entity rom is port(dataout:out std_logic_vector(7 downto 0); addr:in std_logic_vector(3 downto 0); ce:in std_logic);end entity;architecture d of rom is signal id:std_logic_vector(4 downto 0);begin id=addr & ce;

9、dataout按钮,选择要仿真的引脚。单击OK按钮,列出仿真电路的输入输出引脚图。 沈 阳 大 学课程设计说明书 NO.10图9 添加rom仿真引脚 添加好输入、输出引脚后会出现如图10所示的引脚图,再对此图中的输入引脚按照源程序的要求进行初始化。输入引脚设置好后就可以进行仿真。图10 rom各引脚图 仿真前将此文件置顶,即点击菜单栏中File选项,选择其中的project,再选择下一级选项中的“set project to current file”即可实现置顶操作。然后进行仿真,单击菜单栏中的MAX+plusII菜单,选择其中的Simulator选项进行仿真。仿真过程如果准确无误则出现如图

10、11所示的对话框。 沈 阳 大 学课程设计说明书 NO.11图11 仿真过程图 将此对话框关闭,观察波形仿真图的仿真结果,如图12所示。观察其中仿真过后的输出引脚是否与源程序给出的结果一致,如果仿真结果与源程序不一致则仿真出错或输入引脚设置有误,如果仿真结果与源程序一致则输入引脚初始化和仿真过程都正确。图12 输入、输出引脚仿真结果图 如果将输入引脚组按照源程序设置一个十进制值,进行仿真则会出现如图13所示仿真结果,结果为十六进制数。可以将此结果转换为二进制数来判断仿真是否正确。 沈 阳 大 学课程设计说明书 NO.12图13 输入引脚为十进制的仿真结果图由运行结果可知,输入端ADDR输入数据

11、,经MAX+plusII软件进行运行仿真后得到输出端结果,经验证后仿真结果正确。本次课程设计实现了对16*8位rom的设计及仿真。该rom有4位地址线ADDR(0)ADDR(3),8位数据输出线DATAOUT(0)DATAOUT(7)及使能端CE,且CE为低电平有效。 沈 阳 大 学课程设计说明书 NO.134. 设计体会 通过这次IC设计基础技术课程设计,加强了我们动手能力,提高了我们的思考和解决问题的思维。此次设计我们选用的是MAX+plusII软件,在整个设计过程中整个小组的同学团结一致和互帮互助,遇到了很多问题,有时心里想着这样的接法可以行得通,但实际进行运行仿真时,总是实现不了,但最

12、终通过我们自己的努力解决了这些问题,我们受益匪浅。做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西,比如一些引脚的初始化过程,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。通过这次课程设计使我懂得了理论与实际相结合

13、是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。同时,我也学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高。在这次数字电子课程设计过程中,我们学会使用MAX+plusII软件设计电路并运行仿真,让我们的动手能力得到很大的提高,增加了我们的学习兴趣。 沈 阳 大 学课程设计说明书 NO.145. 参考文献1任艳颖,王彬.IC设计基础M.西安:西安电子科技大学出版社. 2006.5:249258.2谭会生,张昌凡.EDA技术及应用M.西安:西安电子科技大学出版社.2010.2:192193.3张明,吴琼,武刚.微机原理及接口技术M.沈阳:东北大学出版社.2010.12:134138.4姜雪松,吴钰淳.VHDL设计实力例子及仿真M.北京:机械工业出版社.2007.1107109.5杨守良.基于FPGA的ROM设计问题J.信息技术.2010年03期.6平均芬.硬件描述语言VHDL在EDA仿真中的应用J.现代电子技术.2005年06期. 沈 阳 大 学

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!